VHDL 실습(8bit Counter, State Machine) 결과
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

VHDL 실습(8bit Counter, State Machine) 결과에 대한 보고서 자료입니다.

목차

1. 8Bit Counter
2. State Machine

본문내용

☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터 의 Carry값이 1일 때만 동작하게 한다. 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 카운터는 Carry값 1을 T값으로 받으면서 왼쪽 4비트 카운터가 동작하도록 한다.

키워드

  • 가격1,500
  • 페이지수7페이지
  • 등록일2021.01.07
  • 저작시기2014.5
  • 파일형식아크로뱃 뷰어(pdf)
  • 자료번호#1143206
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니