VHDL 모델링 및 합성을 통한 3색 교통 신호 제어기 설계
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

1. 교통 신호 제어기(TLC)의 개요

2. 하위 레벨 성분의 VHDL모델링

3. 교통신호 제어 흐름도

본문내용

lse
count <= 0;--count>5 이므로 다음상태로 천이, count reset
light_reg <= yellow_red;
end if;
when yellow_red =>-- 한클럭의 yellow_red 유지
light_reg <= red_green;
when others =>
light_reg <= red_green;
end case;
end if;
end process;
end design;
3. 교통신호 제어 흐름도
앞절에서 설명한 교통 신호 제어기의 동작의 상태 그래프 상에는 4가지 상태가 있다. 그림에서 원 내부는 시스템의 상태를 나타내며, 원 내부 아래쪽의 count는 클럭으로서 클럭을 증가시켜 6클럭을 넘으면 다음 상태로 천이 된다.
“100001”은 남북방향 신호등은 red, 동서방향 신호등은 green -- 6 clock 발생
"100010"은 남북방향 신호등은 red, 동서방향 신호등은 yellow -- 1 clock 발생
"001100"은 남북방향 신호등은 green, 동서방향 신호등은 red -- 6 clock 발생
"010100"은 남북방향 신호등은 yellow, 동서방향 신호등은 red -- 1 clock 발생
  • 가격1,000
  • 페이지수4페이지
  • 등록일2005.11.21
  • 저작시기2005.11
  • 파일형식한글(hwp)
  • 자료번호#322096
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니