[M.Morris MANO] 디지털 논리와 컴퓨터 설계 6장 연습문제
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[M.Morris MANO] 디지털 논리와 컴퓨터 설계 6장 연습문제에 대한 보고서 자료입니다.

목차

[M.Morris MANO] 디지털 논리와 컴퓨터 설계 6장 연습문제
Logic and computer design fundamentals
6단원
2번 8번 14번 20번 26번 32번 38번

본문내용

plexer_4to1_arch is begin
process (S, D)
begin
case S is
when "00" => Y <=D(0);
when "01" => Y <=D(1);
when "10" => Y <=D(2);
when "11" => Y <=D(3);
when others => null;
end case;
end process
end multiplexer_4to1_arch;
38. Write a Verilog description for the multiplexer in Figure 4-14 y using a process containing a case statement rather than the continuous assignment statements as shown in Section 4-8.
module aa(S, D, y);
input [1:0] S;
input [3:0] D;
output y;
reg Y;
alwas @(s or D)
begin
case(S)
2'b00 : Y <=D[0];
2'b01 : Y <=D[1];
2'b10 : Y <=D[2];
2'b11 : Y <=D[3];
endcase;
end
endmodule

키워드

한양대,   최병욱,   논리설계,   logic,   morris,   mano
  • 가격1,000
  • 페이지수4페이지
  • 등록일2007.07.02
  • 저작시기2007.5
  • 파일형식한글(hwp)
  • 자료번호#418417
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니