디지털 공학 실험[순차회로(검출기)설계]
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

디지털 공학 실험[순차회로(검출기)설계]에 대한 보고서 자료입니다.

목차

설계과목 : 디지털공학실험
설계수행자
설계과제제목
주 별 설계진행 일정
공 학 이 론
설계구성요소
설계주안점
현실적제한요소



VHDL로 순차회로(검출기) 설계 코딩

벡터를 이용한 순차회로 설계



벡터를 이용하지 않은 순차회로 설계(reset이 안됩니다



벡터를 이용한 순차회로 시물레이션 결과
001011001011을 입력
1이 출력되고 0으로 리셋된 후 1이 출력



벡터를 이용하지 않은 순차회로 시물레이션 결과

본문내용

────────────────────────────────────
설계과목 │ 과 목 명 │ 디지털공학실험 │ 분 반  │ 
 │ 담당교수 │        │ 담당조교 │ 
────────────────────────────────────
설계수행자│ 소  속 │  전자  공학부 │ 학 번  │ 
 │ 성   명 │        │ 연 락 처 │ 
────────────────────────────────────
설계과제제목│ 순차회로(검출기)의 설계
────────────────────────────────────
주 별 설계진행

일정 설계 1주차: 설계과제 이해 및 과제 제안서 작성
설계 2주차: 순차회로의 이론 및 특징 조사
설계3주차: 설계 과제에 대한 이론적 출력 확인 및 상태도 상패그래프 천이표 작성
설계4주차: MAX PLUS II 의 Toll과 문법을 사용 하여 순차회로를 설계
────────────────────────────────────
공 학 이 론

순차회로의 상태 그래프, 상태도, 천이표, 밀리 머신의 특징
VHDL에 사용되는 Toll, MAX PLUS II의 문법과 사용법, 시물레이션 수행방법과 Waveform Editor 사용방법과 출력값에 대한 그래프 해석능력.
────────────────────────────────────
설계구성요소

1.상태 그래프를 이용한 설계 : (1) 전형적인 입출력 열을 작성. (2) state diagram 작성.(3) state table 작성. (4) state table로부터 밀리 상태그래프 작성.

2.프로그램을 이용한 설계 : (1) 입출력은 모두 1bit. (2) 모든 node의 입출력 파형을 설정,표시. (4) 주요 변수의 역할 표시. (5) 주요 공학이론을 도출하고 이를 이용한 계산과정을 포함. (5) (1)~(4)를 바탕으로 VHDL을 이용하여 위 기능을 구현할 프로그램 작성.

3.시물레이션 : MAX PLUS II 이용한 시물레이션 : 위 2단계 설계 결과를 MAX PLUSII의 Text Editor의 문법과 프로그래밍 방식을 이용해 프로그램을 한 것을 Waveform Editor를 통해 입력값을 설정하고 원하는 동작을 하는지 평가.
────────────────────────────────────




≪ … 중 략 … ≫




VHDL로 순차회로(검출기) 설계 코딩

벡터를 이용한 순차회로 설계


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity MSC is
port( x:in std_logic_vector(3 downto 0);
z:buffer std_logic);
end MSC;
architecture act of MSC is
begin
process(x)
begin
case x is
when "0010"=>z<='1';--0010이면 출력 z가 1이 된다.--
when "1011"=>z<='1'; --1011이면 출력 z가 1이 된다.--
when others =>z<='0';--나머지 경우에는 출력 z가 0이 된다.--
end case;
end process;
end act;
  • 가격1,000
  • 페이지수8페이지
  • 등록일2011.06.10
  • 저작시기2009.6
  • 파일형식워드(doc)
  • 자료번호#683842
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니