[디지털 회로설계] 고속 동작 덧셈기 설계
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[디지털 회로설계] 고속 동작 덧셈기 설계에 대한 보고서 자료입니다.

목차

1. 제목 : 고속 동작 덧셈기 설계

2. 설계 목적

3. 설계 내용

4. 분석
 1) CLA (Carry Look Ahead Adder)
 3) CSA (Carry Select Adder)
 2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현을 해준다.

5. 시험 및 평가
 * 16 bit CLA

6. 논의사항


참고서적

본문내용

Area가 적게 필요하지만, Performance 적으로는 그리 뛰어나지 못하다. 즉 Delay의 크기가 크다. 따라서 전문성은 떨어지게 되지만, 작은 공간에서 사용하고자 할 때 효율적이다. 마지막으로 CLA의 경우는 CSA와 RCA의 중간정도의 모델로서 적절한 Delay와 적절한 Cost, Area가 사용된다.
3) 덧셈기의 입출력이 4-bit 이상의 긴 word length를 가질 때 설계상 고려해야 할 부분에 대해 논하시오.
-우리가 고려해야 할 것은 Fan-in constaint다. Fan-in이란 입력단에 걸리는 총 신호의 개수를 뜻하는데, Fan-in증가하면 V(source)와 V(Bulk)사이의 전압차가 증가하여 Body effect가 발생하게 되, 오작동을 일으키게 된다. 즉 16bit CLA를 한 block 상에서 구현하는 것은 적합한 행위가 안된다. 또한 입력이 많아지면 입력들이 동시에 작용하지 못하여 delay가 발생하고 여러 개의 입력이 한꺼번에 변하게 되면 Critical Race가 발생하여 회로에 악영향을 끼치게 될 수도 있다.
1. test bench code
library ieee;
use ieee.std_logic_1164.all;
entity tb_add is
end;
architecture tb of tb_add is
signal x, y : std_logic_vector(15 downto 0);
signal c : std_logic;
signal Sum : std_logic_vector(15 downto 0);
signal cout: std_logic;
component topfile
port(x, y : in std_logic_vector (15 downto 0);
c : in std_logic;
sum : out std_logic_vector (15 downto 0);
cout : out std_logic);
end component;
begin
tb_add : topfile port map(x, y, c, sum, cout);
process
begin
wait for 0ns;
x <= "0011010010111010";
y <= "0100101101100110";
c <= '0';
wait for 20ns;
x <= "0111111111111111";
y <= "0111111111111111";
c <= '0';
wait for 20ns;
x <= "1000000000000000";
y <= "1000000000000000";
c <= '1';
wait for 20ns;
x <= "0111001100110101";
y <= "1000010100110010";
c <= '1';
end process;
end tb;
---------------------------------------------------
코드분석 : 테스트벤치를 해주고 component 구무을 통하여 완성된 16-bit CLA에 연결을 하였다. process구문을 통하여 각각의 입력신호 x, y, c 를 입력하여 주었다.
<완성된 16-bit CLA Simulation 결과>
시뮬레이션 결과 우선 delay가 4ns정도 발생을 하였고 입력된 신호 x, y에서 carry와 합인 sum, carry out이 발생하였다. 여기서 발생한 delay는 CLA회로를 구성하는 게이트 내부의 delay라는 것을 알 수 있다.
참고서적
- 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100
- 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39
  • 가격3,300
  • 페이지수8페이지
  • 등록일2013.07.01
  • 저작시기2011.5
  • 파일형식한글(hwp)
  • 자료번호#854991
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니