• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 12,870건

게이트 회로도>    ≪ 표 ≫ < NAND 게이트 진리표>   ≪ 그 림 ≫ < NAND 게이트 출력파형> 예비 레포트8장.hwp…………………………………7p 아날로그 및 디지털 회로 설계 실습 -예비레포트- 8. 논리함수와 게이
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
08, 74LS48, 74LS74, 74LS83, 74LS95, 74LS166 실험기자재 및 부품 사용기기 - 직류 전원공급기 - 함수발생기 - 오실로스코프 - 디지털 멀티미터 사용부품 - 예비보고서 참조 실험방법 및 순서 예비보고서에서 설계한 D/A 변환기를 구현하고, 그 동작을 확인
  • 페이지 136페이지
  • 가격 13,860원
  • 등록일 2012.09.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
08, 74LS48, 74LS74, 74LS83, 74LS95, 74LS166 실험기자재 및 부품 사용기기 - 직류 전원공급기 - 함수발생기 - 오실로스코프 - 디지털 멀티미터 사용부품 - 예비보고서 참조 실험방법 및 순서 예비보고서에서 설계한 D/A 변환기를 구현하고, 그 동작을 확인
  • 페이지 136페이지
  • 가격 12,600원
  • 등록일 2013.10.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
게이트를 사용하여 만든 2X4 디코더의 기능에 대해 설명하고 그 정의에 따라 진리표를 만들고, 2X4 회로도를 설계하라. 디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로를
  • 페이지 9페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실습의 후반부인 디지털 회로의 가장 기초가 되는 실험이었다. 어려운 실습은 아니었지만 그래도 실제로 논리회로를 구현해 봄으로써 앞으로의 실험들을 접근하는 데에 많은 도움을 준 실습이 된 것 같다. 1. 설계실습 내용 및 결과 2. 결
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2013.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 57건

측정하였다. 그림 35. 입력전압 1 그림 36. 입력전압 2 그림 37. DLL의 동기화 된 파형 9. 결론 DLL은 마이크로프로세서 및 메모리 인터페이스 등과 같은 여러 응용분야에서 필수적으로 사용되고 있다. DLL은 칩간의 통신에서 클럭의 동기화 및 cloc
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
08 ◎ 부록  ……………………………………………………………………………111   <부록 1> 연구 참여 동의서   <부록 2> 면담가이드 질문   <부록 3> 실습 일지 예시   <부록 4> 실습 소감문 예시   <부록 5> 실습 평가회 자료
  • 페이지 132페이지
  • 가격 5,000원
  • 발행일 2014.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
연혁 제2절 은행의 인사고과 제4장 연구대상 제1절 국민 은행 기업의 인사고과 제5장 국민은행 인사고과 문제점 진단 및 개선방안 제1절 인사고과의 문제점진단 및 평가 제2절 인사고과의 문제점 해결방안 참고문헌
  • 페이지 32페이지
  • 가격 4,200원
  • 발행일 2004.11.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
하는 namespace 3. 주어진 정보에서 수행되어야 될 operation을 관리하는 functional model 4. Dirctory안의 정보의 보안을 위한 authentication framework 5. Data의 분배와 수행을 관리하는 distributed operation model 기능적으로 X.500은 세 분야의 operation을 정의하고
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2008.12.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 71건

측정을 통한 양자점 트랜지스터 특성 분석 ○ 연구 기대 효과 본 연구를 통해 고성능 양자점 트랜지스터 개발을 통한 전자 소자 기술 발전 및 양자 컴퓨팅 등 새로운 응용 분야 개척을 통해 국내 물리학 연구 수준 향상을 기대하고 있습니다.
  • 가격 4,000원
  • 등록일 2024.03.03
  • 파일종류 한글(hwp)
  • 직종구분 기타
하고 있습니다. 이후 생활디자인전공에서 학생의 신분으로 전공과 어학에 매진하여 글로벌 시대에 맞는 사고를 구축하고 실력을 쌓아 세계의 중심에 서고 싶습니다. 2 ◈구술면접 고사의 준비와 대책 1.면접 2.자기소개서의 개념 및 작성
  • 가격 3,600원
  • 등록일 2005.08.11
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로설계 ……………………………………………………3  1. RC 발진회로 ………………………………………………3   (1) 동작 원리 ………………………………………………3   (2) 속도조정 및 역류방지 ……………………………………4
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
기능 통합 고객만족과 서비스경영 Ⅰ. 고객과 서비스 1. 고객서비스의 유형 1) 서비스기업 주관 서비스 2) 접점직원 주관 서비스 3) 고객 주관 서비스 2. 고객의 종류와 요구 1) 경제적 고객 2) 윤리적 고객 3) 개인적 고객 4) 편의적
  • 가격 3,500원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
5. 과학적 경영기법 6. 대기중인 수요의 관리 Ⅴ. 서비스공급관리 1. 서비스능력 2. 서비스능력의 구성요소 3. 서비스공급관리 전략 Ⅵ. 서비스 생산능력계획 1. 서비스능력의 측정 2. 서비스능력의 효율적 이용 3. 서비스능력 전략
  • 가격 3,500원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top