|
17
[2] 영국, 프랑스, 일본의 아동양육에 대한 사회적 지원, 황옥경, 2003
[3] 프랑스 보육정책의 함의 : 융봅합적 관점에서, 이문숙, 2018
[4] 출산에서 양육까지 아우르는 프랑스 출산 정책, 손동기, 2019
[5] Politiques d’accueil du jeune enfant et d’indemnisat
|
- 페이지 18페이지
- 가격 5,000원
- 발행일 2022.08.23
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
1998 Feb 5;68(3):135-9.
24. Englund EK, Rodgers ZB, Langham MC, Mohler ER 3rd, Floyd TF, Wehrli FW. Simultaneous measurement of macro- and microvascular blood flow and oxygen saturation for quantification of muscle oxygen consumption. Magn Reson Med. 2018 Feb;79(2):846-855. doi: 10.1002/mrm.26744. E
|
- 페이지 9페이지
- 가격 3,000원
- 발행일 2022.09.07
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
1 of door_lock is
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,key_det : std_logic;
signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
signal save1,
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
|
형실험
근입깊이
1D
1.5D
2D
수평저항력
(kN)
0.90
0.97
1.21
수치해석
근입깊이
1D
1.5D
2D
2.5D
3D
수평저항력(kN)
2.16
2.7
2.97
3.28
3.76
암반층강도(MPa)
24
27
30
35
40
수평저항력(kN)
2.655
2.680
2.690
2.705
2.720
사질토 지반 수평지반반력계수
()
1000
3000
5000
10000
|
- 페이지 57페이지
- 가격 5,000원
- 발행일 2010.12.28
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
1)
1. 병변의 위치결정
1A. Air bronchogram
1B. Silhouette sign
1C. Hilum overlay or convergence sign
1D. Extrapleural sign
II. 비정상적인 흉부영상소견의 유형분석(2)
2. 음영증가성 병변
2A. Air-space consolidation
2B. Interstitial lung disease
2C. Atelectasis
2D. Pulmonary nodule
|
- 페이지 257페이지
- 가격 13,000원
- 발행일 2011.11.28
- 파일종류 기타
- 발행기관
- 저자
|