• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 86건

1. 설계내용 및 목적 내용 Rayleigh fading은 이동통신시스템에 필요한 무선전송 기술의 전파 환경 효과에 대한 통계 모델로 MATLAB 프로그램을 이용하여 Simulator 해 본다. 목적 도플러 주파수(fd)에 따른 Rayleigh Fading의 특성을 설계를 통해 알아
  • 페이지 17페이지
  • 가격 10,000원
  • 등록일 2011.08.29
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ngth(ebn0) 10^(-5) 1]) -------------------------------------------------------------------- 2. DE_S.m -------------------------------------------------------------------- function out=de_s(T_data,code) L=length(T_data); CL=length(code); out=zeros(1,L/CL); for n=0:(L/CL)-1 out(n+1)=sum(T_data(n*CL+1:
  • 페이지 3페이지
  • 가격 2,000원
  • 등록일 2006.09.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Matlab 시뮬레이션으로 보여라. clear all close all In = [1 1 1 1 1 -1 -1 1 1 -1 1 -1 1]; %barker sequence N=13 In에 할당 Co=xcorr(In); %barker sequence의 autocorrealition연산 후 delta에 저장 stem(Co); %그래프로 출력 xlabel('n'); ylabel ('delta(n)'); Title ('Result'); xlim([-0 26]); ylim([-1 2
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2009.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Matlab Simulation 1) GUI 이용해 구성한 Fourier Series Simulation Dialog 2) GUI를 통해 구성해 프로그램을 실행한 첫 화면 3) Full wave sin 파형을 선택했을 때 4) Full wave cos 파형을 선택했을 때 5) Half wave sin 파형을 선택했을 때 6) Half wave cos 파형을 선택했
  • 페이지 8페이지
  • 가격 4,000원
  • 등록일 2009.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Matlab Full Code 13. FIR Filter 의 주파수 특성 14. Matlab Simulation Result 15. Hardware Reduction 16. Delay Design with Verilog 17. Adder Design with Verilog 18. Coefficient Multiplier Design with Verilog 19. Verilog Full Code 20. Testbench Full Code 21. Simulation Result(1) 22. Simulatio
  • 페이지 23페이지
  • 가격 2,000원
  • 등록일 2012.10.23
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
MATLAB Simulink를 사용하여 시뮬레이션을 수행하였다. 신뢰성과 내 환경성에서 우수한 특성을 가지고 있는 AC 서보 모터는 가전분야의 지속적인 시장점유와 공작기계를 포함한 산업용기기 분야의 폭넓은 시장을 가지고 있었으나 타모터에 비하
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션 결과 가) Hamming encoder <그림4-10. Hamming encoder 타이밍도> 해밍 코드의 알고리듬에 따라 00101100의 입력이 001011100011의 encoding 된다. 나)Hamming decoder <그림4-11. Hamming decoder 타이밍도> 해밍 코드의 알고리듬에 따라 오류가 없을 것
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

og, Pspice, Workbench, 소자 simulation 소프트웨어인 MEDICI 등 다양한 software를 통한 실무위주의 능력을 배양하였습니다. 최근에는 JPEG 알고리즘을 c언어나 matlab이 아닌 verilogHDL를 통한 구현 방법과 microstrip을 이용한 RF소자를 논문에 나와 있는 방법으
  • 가격 3,000원
  • 등록일 2007.06.29
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top