• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 60건

of connection detail and material properties)", Trans. AIJ, No. 535,141-148 4.Tanuma, Y., Fukasawa, T., and Kobayashi, H., (2000), "Study on fatigue characteristics of cross welded joints of high strength steel", Trans. AIJ, No. 531,149-156 5.Kuwamura, H., Takagi, N., and Matsui, K., (2000), "Effect
  • 페이지 39페이지
  • 가격 3,000원
  • 등록일 2011.04.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
of Cyclically Loaded Reduced Beam Section Moment Connections,” J. Struct. Engrg., ASCE, 128(4), 441-451 13.Kaufmann, E. J. and Fisher, J. W. (1995), “A Study of Material and Welding Factors on Moment Frame Weld Joint Performance Using A Small-Scale Tension Specimen,” SAC 95-08 14.Paulay, T and Pries
  • 페이지 63페이지
  • 가격 3,000원
  • 등록일 2011.04.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
of Uniform Monolayer Arrays of Nanoparticles Venugopal Santhanam, Jia Liu, Rajan Agarwal, and Ronald P. Andres* School of Chemical Engineering, Purdue University, West Lafayette, Indiana 47907 An Adsorption Process Study on the Self-Assembled Monolayer ormation ofOctadecanethiol Chemisorbed on Gold
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2004.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
material and supporting biotech information   Ⅰ. 서론 Ⅱ. 본론 1. 유전자 변형 작물의 종류와 재배 현황 2. 유전자 변형 작물에 이용되는 개발방법의 종류 ⑴ 유전자 클로닝 방법 1) 유전자 첨가 2) 유전자 삭제 ⑵ 유전자 도입 방
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2008.07.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Study on Instruction Method of Korean Language Using Classic Fairy Tales : Focus on culture-oriented reading material배재대학교 일반대학원,[2009] [국내석사] 곽지영 외, 『한국어 교수법의 실제』 연세대학교, 2009 순경숙, 한국어 학습자의 읽기 전략 훈련과 학습 결과 분
  • 페이지 5페이지
  • 가격 6,300원
  • 등록일 2019.05.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top