• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 778건

기본논리게이트 1. 목 적 2. 이 론 2.1 NOR 게이트 2.2 AND 게이트 2.3 OR 게이트 2.4 OR 게이트 2.5 NAND 게이트의 응용 2.6 NOR 게이트의 응용 2.7 NOR 게이트의 응용 2.8 XOR 게이트의 응용 3. 실험기구 4. 실험회로도 5. 실험절차 6. 참고문헌
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2013.11.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
NOR, 인버터 게이트의 진리표를 작성하고 NAND , NOR 게이트를 이용하여 다른 기본 논리게이트를 구성하는 방법과 ANSI/IEEE 표준 91-1984 논리 기호를 사용하는 방법을 알아보는 실험이다. 2장3장의 실험의 경우에는 회로도를 책에서 제공하여 회로
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2015.03.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
기본 게이트들을 다시 한 번 공부 할 수 있는 기회가 되었다. 일단 내가 알 고 있던 게이트들의 진리표를 키트를 이용하여 확인 한다고 생각하니 신기했다. 사실 AND게 이트와 OR, NOT, NAND NOR같은 경우는 진리표를 외우고 있었지만, XOR게이트와 XN
  • 페이지 14페이지
  • 가격 3,000원
  • 등록일 2011.06.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
게이트에 전압이 걸려서 앞에서 들어온 신호를 받아 다시 logic이 작동한다. 3. 실제의 사용에서 AND, OR 게이트보다 NAND, NOR게이트가 더 많이 사용되는 이유에 대하여 조사하시오. - 실제의 논리 회로의 설계에서는 AND나 OR보다 NAND나 NOR가 만들기
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2006.11.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리식을 간략화 하기 위한 방법이다. - 기본 논리 회로 AND게이트, OR게이트, NOT게이트 Buffer게이트, NAND게이트, NOR게이트 XOR게이트, XNOR게이트 1-3 부울대수와 기본 논리 회로 1) 부울 대수 부울대수는 0과 1로 된 두 개의 값으로만 표현하고
  • 페이지 7페이지
  • 가격 2,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 4건

기본개념과 동작원리 3. Phase Detector (위상검출기)의 기본개념과 동작원리 4. Loop Filter의 기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기본으로 하며 이를 통해 '신뢰' 있는 지도자를 찾는데 그 의의가 있다 하겠다. 즉 다시 말해 미래의 건강하고 건설적인 사회를 만들어가기 위해서 지도자들은 무엇보다도 "도덕성"을 먼저 갖추어야 하며, “신뢰적 리더십”을 갖추고, 진정으
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2010.02.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
게이트, 2001년. [6] Boian Mitov, "Video Lab 3.1 visual c++ Quick start", www.openwire.org, 2004년. 1. 서론 : 영상처리란 무엇인가 2. 기본 처리 기법  2. 1 이진영상 처리  2. 2 contrast stretching  2. 3 잡음 제거   2. 3. 1 미디언 필터에 의한 잡음 제거
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2012.07.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
OS 기술 Ⅳ 요약 및 결론 참고문헌 -그 림 목 차- <그림 1> Sun Java System RFID Software <그림 2> ETRI 자동식별 미들웨어 구조 <그림 3> 항공수하물 관리 시스템 <그림 4> 항만 게이트 반. 출입 관리 <그림 5> POC 의료관리 시스템 <그림 6> USN 구조
  • 페이지 20페이지
  • 가격 2,900원
  • 발행일 2008.10.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

논리게이트 a.b+c바 라플라스로 XY 전달함수구하기 인덕턴스 에너지 환상솔레노이드 자계 기전력 자속으로 자기저항구하기 1.관리, 감독 없이도 스스로 업무를 처리하며 남들이 꺼리는 업무나 궂은일도 주도적으로 나서서 해결한다. [자
  • 가격 2,000원
  • 등록일 2022.03.24
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
스터 개발입니다. 이를 위해 다음과 같은 세부 목표를 설정했습니다. - 높은 이동도를 가진 양자점 제작 - 양자점 트랜지스터의 게이트 제어 기술 개발 - 양자점 트랜지스터를 이용한 논리 회로 구현 ○ 연구 방법 본 연구를 진행하기 위해 다
  • 가격 4,000원
  • 등록일 2024.03.03
  • 파일종류 한글(hwp)
  • 직종구분 기타
top