• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,115건

논리회로(Logic circuit) 디지털 전자회로에서는 2진수 “0”과 “1”의 두 부호의 조합에 의해 필요한 정보를 나타내는데, 이 0과 1을 사용하여 입력정보를 처리하는 회로를 논리회로라 한다. 지금까지 2진수의 두 상태를 “1”과 “0”으로 표
  • 페이지 38페이지
  • 가격 3,000원
  • 등록일 2011.09.05
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로와 컴퓨터논리회로 1. Boolean Algebra 1) 창시 1847 년 George Boole 2) 논리설계에 응용 1938년 Claude E. Shannon(BELL LAB.) -> Binary Logic 2. 기본 논리 : AND, OR, NOT, (XOR or EOR) - Boolean Algebra 의 기본 정리 * Duality Principle(이원성 원리, 쌍대성 원리) 어떤 명
  • 페이지 18페이지
  • 가격 9,000원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
순서 논리회로는 클록 펄스를 사용해서 여러 개의 플립플롭을 동시에 동작시키며, 비동기식 순서 논리 회로는 클록 펄스를 사용하지 않고 플립플롭을 동작 시킨다. 컴퓨터에서는 비동기식 논리회로를 사용하지 않고 동기식 논리회로를 사용
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2009.08.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로의 상호작용은 현대 컴퓨팅 기술의 발전에 있어 중추적인 역할을 담당합니다. 이런 상호작용은 데이터 처리의 효율성, 메모리 관리의 최적화, 프로그래밍의 단순화 등 컴퓨터 시스템의 핵심 측면에서 중요한 기여를 합니다. 이를 통
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2024.02.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로의 간소화(간략화) 카르노도는 다음 순서로 간소화한다. 논리식을 표준 SOP 형식으로 나타낸다. 논리식에 해당하는 부분을 카르노도에 1로 쓰고 나머지는 0을 기록한다. 이웃된 1을 2, 4, 8 …, 즉 2^n개의 가능한 한 크게 원을 만든다.
  • 페이지 10페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 13건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로(2013) / A+학점 취득 전자회로1(2013) / A-학점 취득 회로이론1(2013) / A+학점 취득 전자장1(2013) / A학점 취득 전자회로2(2013) / B+학점 취득 회로이론2(2013) / A+학점 취득 전자장2(2013) / A학점 취득 마이크로프로세서0000(2014) / A학점 취득 전자회
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top