• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 382건

자판기 종류별 구조적 설명.......................................4 2 설계목표 2.1 자판기 설계 목표..............................................4 2.2 자판기 설계 조건..............................................5 3 설계내용 3.1 자판기 회로 동작 조건정의 (모듈,
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분석 및 설계 3. 프로젝트 고찰
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 4건

- 동작화면 <그림 3 동작화면> 1장. 작품의 필요성 2장. 작품과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장.
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 디자인 4 2.1.3 설계 3D 디자인 5 2.1.4 설계 도면 6 2.1.5 회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본체 구동 코딩 9 2.2.1.1 AX 12+ 서보모터 Setting 9 2.2.1.2 AX 12+ 서보모터 Main 12 2.2.1.3 AX 12+ 서보모
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-사유의 관계에 대한 연구, 건국대학교 대학원, 신제임 들뢰즈와 영화, 민음사, 1991년. 박성수 들뢰즈의 철학, 민음사, 2002년. 서동욱 질 들뢰즈의 시간기계, 그린비, 2005년. 데이비드 노먼 로도윅, 김지훈 역 디지털 영상예술 코드 읽기, 아이공
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2010.01.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-Wound Brushed DC Motor) 2.2.3 직권형 DC 모터(Series-Wound Brushed DC Motor) 2.2.4 복권형 DC 모터(Compound-Wound Brushed DC Motor) 2.2.5 DC 모터의 종류별 속도 vs 토크 특성 3. BLDC 모터 3.1 브러시리스 모터 소개 3.1.1 브러시리스 모터의 특징 3.1.2 브러시리스
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 44건

회로설계와 C++을 이용한 영상처리 역량을 갖추었습니다. 셋째, 저는 다양한 임베디드 작품 개발 경험이 있습니다. 2년간의 소모임 활동과 프로젝트 진행을 통해 IOT 펫 하우징 시스템, 자동연주 피아노 등의 회로설계부터 코딩까지 직접 작품
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
프로젝트 모임 등 다양한 조직의 리더를 맡으면서 리더십을 기를 수 있었습니다. 리더가 되면서 조직의 행동과 결과에 책임을 지고 조직원들을 이끌어야했기 때문에 강한 책임감과 신뢰를 주는 행동방식을 갖게 되었습니다. 3. 전공공부 뿐만
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 1. 직무 관련 경험 기술 2. 지원동기 및 포부
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
프로젝트 등) (1000자 이내) [3일 밤샘의 결과] [과정은 여러 가지, 목표는 하나] 4. 회사에 제시하고 싶은 자신의 모습(자유기술) (1000자 이내) [여성의 섬세함과 남성의 도전력] 5. 본인의 능력개발을 위한 과거와 현재의 노력 및 미래
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top