• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,749건

자판기 자체의 신기함에 따른 자체판촉성이 있다. 이 때문에 무인 기능의 자동판매기 는 엄청나게 전개가 될것으로 예상된다. 인간의 역사가 노동집약적구조에서 기술집약적 산업사회로의 전환이 이루어지고 있으며 상품도 대량생산, 대량
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로를 구성한다 (5)Clear 입력에 0->1로 하여 Q1Q2=00으로 만든다. (6)클록입력에 펄스를 주어서 Q1Q2의 상태표를 기록한다. 5.Reference 디지털 회로 실험 <한양대학교> 디지털 논리 회로 <John M. Yarbrough> 1.제목 2.실험 목적 3.관련
  • 페이지 79페이지
  • 가격 2,000원
  • 등록일 2015.10.06
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자판기 회로  ≪ 그 림 ≫ (f) 회로도 회로 설계   ≪ 표 ≫      ≪ 표 ≫      ≪ 표 ≫  -입력변수-     -상태변수-     -출력변수- 〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2013.06.07
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
---------모듈부분 간략설명-------------- module vending(Clk, reset, choice, In, Change, exceed, Out); //Input setting input Clk; input reset; // S0상태로 설정 (S0=0원) input choice; // 선택 (1=음료선택,0=비활성화) input [1:0]In; // IN을 2bit로 입력받음 (00=0원, 01=500원, 10=1000
  • 페이지 25페이지
  • 가격 3,000원
  • 등록일 2014.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302 3장. 2절. 6 KEY PAD 3장. 2절. 7 TSL250RD 3장. 2절. 8 MAX232CSE 3장. 2절. 9 ZigBee 3장. 3절 소프트웨어 설계 3장. 3절. 1 순
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본체 구동 코딩 9 2.2.1.1 AX 12+ 서보모터 Setting 9 2.2.1.2 AX 12+ 서보모터 Main 12 2.2.1.3 AX 12+ 서보모터 제어 Main 13 2.2.1.4 AX 12+ 서보모터 제어 함수 17 2.2.1.5 Delay
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
자판기의 무선 통신 ------------------ 4.4.5 홈 오토메이션 (Home Automation) ----------------- 4.4.6 디지털 기기간의 무선 통신 ------------------ 4.4.7 무인 방범 업체와의 제휴 -------------------- 4.4.8 액세스 포인트 (Access Point) -------------------- 4.4.9무선 헤
  • 페이지 44페이지
  • 가격 15,000원
  • 발행일 2010.01.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 46건

회로설계와 C++을 이용한 영상처리 역량을 갖추었습니다. 셋째, 저는 다양한 임베디드 작품 개발 경험이 있습니다. 2년간의 소모임 활동과 프로젝트 진행을 통해 IOT 펫 하우징 시스템, 자동연주 피아노 등의 회로설계부터 코딩까지 직접 작품
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
코딩 작업을 실시하여...(이하생략) 자기소개서 1. 우리은행 디지털 부문에 지원한 동기는 무엇이며 입행 후, 해당 부문에서 어떻게 성장하고 싶은지 본인의 계획에 대하여 기술하여 주십시오. (1200byte) 2. 디지털 관련 전문성을 높이기
  • 가격 2,200원
  • 등록일 2020.05.21
  • 파일종류 아크로벳(pdf)
  • 직종구분 IT, 정보통신
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top