• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 46,993건

갱신 public int getYear() {return year;} //년을 갱신 } 실행켭쳐 삭제버튼누를시 수정을 눌러서 스케줄들이 저장되 있는 공간 1. 프로그램 개요 2. 설계 3. 소스코드 실행켭쳐 삭제버튼누를시 수정을 눌러서 스케줄들이 저장되 있는 공간
  • 페이지 19페이지
  • 가격 3,000원
  • 등록일 2020.12.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
enu(menu); } @Override public boolean onOptionsItemSelected(MenuItem item) {//메뉴아이템이 선택되었을때 // TODO Auto-generated method stub switch(item.getItemId()) { case 0: if (webview.canGoBack()){ webview.goBack();//뒤로갈수있다면 뒤로감 url.setText(webview.getUrl()); //url을 현재 웹뷰에
  • 페이지 10페이지
  • 가격 3,000원
  • 등록일 2020.12.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 및 구현, 건국대학교 산업대학원 석사학위논문 Ⅰ. 서론 Ⅱ. 코스웨어(교육용소프트웨어)의 개념 Ⅲ. 코스웨어(교육용소프트웨어)의 유형 1. 반복 연습형(Drill & Practice) 2. 개인교수형(Tutorials) 3. 모의실험형(Simulations) 4. 교육용
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2011.07.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
소프트웨어로 사용한다면 더 좋을 것이다. 소프트웨어의 한계와 동정 실험시 어느 정도의 오차를 제외하고는 본 실험장치를 통하여 각종 실험 및 실습을 할수 있을 것이다. 참 고 문 헌 제어시스템 이론 해석 및 설계실습 - 정헌술 외 공저,
  • 페이지 34페이지
  • 가격 12,600원
  • 등록일 2012.11.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 325건

교재), 한국공인회계사회 회계연수원, 2002 Ⅰ. 서론 1. 연구배경 및 연구목적 2. 연구범위 및 방법 Ⅱ. 본론 1. 회계감사 교육의 이론적 배경 2. 연구설계 및 방법론 3. 자료의 분석 결과 및 요약 Ⅲ. 결론 참고문헌
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2009.05.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 상세설계 3장. 1절 시스템블럭도 3장. 2절. 각 블록 설명 3장. 2절. 1 Hamming encoder/decoder 3장. 2절. 2 BPSK Modulator/Demodulator 3장. 2절. 3 Error Rate Calculation 3장. 2절. 4 Display 3장. 2절. 5 시뮬레이션 BER 값의 결과 비교 3장. 3절 소프트웨어
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제작 3.2 센서와 서보모터 구동회
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실습체험 연구. 한국간호교육학회지 6(1). 23-35. 1. 서론 1) 연구의 필요성 2) 연구문제 3) 용어의 정리 2. 연구방법 1) 연구설계 2) 연구대상 3) 연구도구 4) 자료수집 분석 및 절차 5) 자료분석방법 3. 연구결과 1) 대상자의 일반적 특성 2)
  • 페이지 10페이지
  • 가격 2,000원
  • 발행일 2013.01.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

기업신용보고서 4건

(재)지능형바이오시스템설계및합성연구단에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주
  • 페이지 16페이지
  • 가격 13,000원
  • 발행일 2018.01.01
  • 파일종류 아크로벳(pdf)
  • 기업명 (재)지능형바이오시스템설계및합성연구단
  • 대표자 김선창
  • 보고서타입 국문
(재)지능형바이오시스템설계및합성연구단에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주
  • 페이지 8페이지
  • 가격 11,000원
  • 발행일 2018.01.01
  • 파일종류 아크로벳(pdf)
  • 기업명 (재)지능형바이오시스템설계및합성연구단
  • 대표자 김선창
  • 보고서타입 영문
(재)지능형바이오시스템설계및합성연구단에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주
  • 페이지 12페이지
  • 가격 55,000원
  • 발행일 2018.01.01
  • 파일종류 아크로벳(pdf)
  • 기업명 (재)지능형바이오시스템설계및합성연구단
  • 대표자 김선창
  • 보고서타입 영문
(재)지능형바이오시스템설계및합성연구단에 대한 재무제표 및 기업정보를 중심으로 분석한 상세보고서(종합신용등급, 현금흐름등급, Watch등급, 기업개요, 주요재무상황, 주요재무비율, 재무신용평정, 신용도 분석의견, 기업현황, 주요 주주
  • 페이지 5페이지
  • 가격 7,000원
  • 발행일 2018.01.01
  • 파일종류 아크로벳(pdf)
  • 기업명 (재)지능형바이오시스템설계및합성연구단
  • 대표자 김선창
  • 보고서타입 국문

취업자료 2,648건

및 교육과정 2. 교육시간(학점) (예시> 프로그래밍 : 20시간) (2000자 제한) * 해당사항이 없을 경우에는 해당사항 없음이라고 작성 * 추후 증빙자료 제출 필요 - 정보, 컴퓨터 교과교재 및 연구법, 정보검색 및 실습, 정보공학종합설계,...
  • 가격 2,000원
  • 등록일 2019.01.18
  • 파일종류 아크로벳(pdf)
  • 직종구분 공사, 공무원
설계’를 수행한 적이 있습니다. 총 팀원 4명으로 구성되어 각각 임무를 파트별로 나누어 3달에 걸쳐 작업을 진행했습니다. 전체적인 디자인 및 설계, 내부 소프트웨어 구현, 하드웨어 작업등 크게 3가지로 나눴는데, 그중에서 로봇의 하드웨
  • 가격 2,500원
  • 등록일 2018.08.25
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
및 압연 공법에 대한 심층적인 연구를 통해 효율적이고 안정적인 제조 프로세스를 개발했습니다. 이로써, 차량의 경량화와 동시에 생산성을 향상시킬 수 있는 혁신적인 기술을 개발하였습니다. 그리고 최근의 현장실습에서는 금형 제작 과정
  • 가격 10,000원
  • 등록일 2023.12.29
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
및 입사 후 포부 [500자 이내] 나의 강점(자기관점, 타인관점)] [500자 이내] 학교수업 이외의 사회활동경험 [500자 이내] 가장 기억에 남는 일 (성공, 실패사례 중심) [500자 이내] 내가 가진 특별한 것 (남과 차별될 수 있는 것) [500자 이내]
  • 가격 1,300원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 직종구분 기타
소프트웨어개발/프로그래머) 50. 자기소개서(IT/정보통신직/웹프로그래머/신입) 51. 자기소개서(광고/기획/광고디자인) 52. 자기소개서(광고회사/카피라이터/신입) 53. 자기소개서(기획/디스플레이/마케터/경력) 54. 자기소개서(마케팅/상품개
  • 가격 5,000원
  • 등록일 2011.08.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
top