• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 337건

플랫폼 사업자 현황 2. 디바이스 사업자 현황 Ⅴ. 스마트TV의 분야별 쟁점 검토 1. 법제도적 쟁점 2. 경제적 쟁점 3. 기술적 쟁점 4. 사회적 쟁점 Ⅵ. 스마트TV의 경쟁력 분석 및 발전방안 1. 스마트TV의 경쟁력 분석(SWOT) 및 평가 2. 스마
  • 페이지 38페이지
  • 가격 3,300원
  • 등록일 2013.04.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1. 생산성향상의 방향 2. 생산성향상을 위한 시스템어프로우치 1) 후면부에서의 전략 2) 접객원 및 실물환경에서의 전략 3) 서비스인카운터에서의 전략 Ⅳ. 생산성효과 1. 생산성의 역설과 설명 가설 2. 경제적 분석 참고문헌
  • 페이지 11페이지
  • 가격 6,500원
  • 등록일 2013.08.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
분석이 없었으며 이에 따른 마케팅 프로그램이 빈약했던 점을 들 수 있겠다. 일례로 4륜구동형 자동차에 대한 고객들의 가장 큰 불만이 사후 서비스부족에 대한 것임에도 불구하고 여기에 대한 대책이 없었다는 것이다. 또한 내부마케팅의 중
  • 페이지 15페이지
  • 가격 1,700원
  • 등록일 2002.10.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
플랫폼 정보기술, 진한엠앤비 오규식 외(2011) GIS와 도시분석, 한울 전종훈 외 모바일 증강현실 기술 표준화 동향, 전자통신동향분석, 2011 가트너가 뽑은 2019년 10대 전략 기술, 자율사물증강분석양자컴퓨팅 등, 테크플러스 Ⅰ. 서론 Ⅱ. 본
  • 페이지 11페이지
  • 가격 4,500원
  • 등록일 2019.03.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
분석 자동화연구소 ☞산업용 로봇 개발 생산성 향상을 위한 로봇 개발 용접/도장 로봇 응용 기술 개발 로봇 시스템 운영 기술 개발 로봇 작업을 위한 주변 장치 및 설비 개발 ☞지능형/인간공존형 로봇 개발 청소/보안 등 다목적 가정용 로봇
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2015.05.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 6건

다목적지의 여행수요함수 비교 분석, 관광학 연구, Vol.26, No.3. 10. 서울특별시, 2003, 「2002 서울시 가구통행실태조사」 11. 서울특별시, 2004,「서울시 장래교통수요예측 및 대응방안연구」 12. 배병렬, 2004,「구조방정식 모델을 위한 SIMPLIS 활용 및
  • 페이지 11페이지
  • 가격 3,000원
  • 발행일 2010.07.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석학회, 공인중개사 시험제도의 문제점과 개선방안, 2005. 주행종, 새로운 부동산시장변화에 따른 부동산중개업제도의 문제점과 개선방안에 관한 연구, 중앙대산업경영대학원 석사논문, 2004. Ⅰ. 서론 Ⅱ. 이론적 배경 1. 부동산 중개
  • 페이지 28페이지
  • 가격 4,000원
  • 발행일 2012.08.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
서론 2. 로봇 개론과 설계 2.1 로봇의 개론 2.2 로봇의 CATIA설계 3. DAFUL 개론과 시뮬레이션 3.1 DAFUL의 개론 3.2 로봇의 구속조건 3.3 로봇의 시뮬레이션 4. 결과와 분석 5. 고찰 6. 참고 문헌 및 도움 주신분
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
조절 71 제 2 절 공인중개사 제도의 개선방안 74 1. 공인중개사 자격시험 74 2. 중개업개설등록 자격의 강화 76 3. 종합부동산서비스시스템의 확립과제 77 4. 공인중개사법의 제정 80 5. 실무교육의 개선 및 계속교육
  • 페이지 20페이지
  • 가격 3,000원
  • 발행일 2010.06.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
simulation. Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론 1.1 연구배경 1.2 연구목적 1.3 연구내용 2. 본 문 2.1 시스템 개괄 2.1.1 System Block Diagram 2.1.2 System Specification 2.2 하드웨어에 대한 분석 및 구현 2.2.1 MCU(micro-con
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

분석을 통해 차량 용품 배송과 멤버십 혜택에 대한 고객의 니즈가 존재한다는 것을 알았습니다. 또한, 자동차 산업의 생태계가 빅데이터에 기반을 둔 MECA 시대로 변화되는 것을 파악했습니다. 이에 개별 고객 주행데이터에 기반을 둔 차량 용
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top