• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 36,730건

실습 투자분석론 OR 선형계획법 경제성공학및연습 시스템공학 선형계획법 경제성공학 ORI,II 시스템공학 및 실습 정보 통신 CIM개론 CALS와 전자상거래 가상생산시스템 산업공학컴퓨터응용 및 실습 Database응용 생산관리컴퓨터응용 시뮬레이션
  • 페이지 27페이지
  • 가격 3,000원
  • 등록일 2013.09.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시뮬레이션 해 보려고 한다. 2. 실험 목적 아레나를 이용하여 헬스장의 이익을 최대화 시킬 수 있는 러닝머신과 사이클의 대수 결정 모델을 만들어 보려고 한다. 시뮬레이션 결과 부족하다고 나타난 기구는 추가를 제안할 것이며, 사용률이
  • 페이지 27페이지
  • 가격 3,000원
  • 등록일 2009.02.23
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
컴퓨터의 이해, 한국방송통신대학교출판부, 2013. 2. 오애경, 마이크로프로세서 실습을 위한 시뮬레이션형 웹 코스웨어 설계 및 구현 : 8051 응용실습을 중심으로, 한국교원대 교육대학원, 2005. 3. 이수진, 공업계 고등학교의 마이크로프로세서 교
  • 페이지 14페이지
  • 가격 3,000원
  • 등록일 2013.03.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
및 컴퓨터를 이용한 모의 실험 등 다양한 형태의 학습 방법이 적용될 수 있다. 참고문헌 김동영(1999) : 수행평가 과학과 사례, 교육소식 7월 20일자 남명호(1995) : 수행평가의 타당성 연구 : 과학 실기 평가·실험보고서 평가·컴퓨터 시뮬레이션
  • 페이지 8페이지
  • 가격 5,000원
  • 등록일 2011.05.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 173건

및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제작 3.2 센서와 서보모터 구동회
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기에 의한 제어 4.5 센서리스(Sensorless) BLDC 모터 제어 4.5.1 역기전력을 이용한 위치 검출 4.5.2 Sensorless BLDC 모터의 속도 제어 4.5.3 시뮬레이션 5. 결론 참고문헌
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3. 내용 가. 내용 체계 나. 학년별 내용 4. 교수ㆍ학습 방법 가. 학습 지도 계획 나. 자료 준비 및 활용 다. 학습 지도 방법 라. 실험·실습 지도 마. 심화·보충 학습 지도, 과학 교수·학습 지도 지원 5. 평가 Ⅲ. 결론
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2007.12.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 개선방향” . 회계저널 제5호, 1996, p119-150 6. 황성식, 컴퓨터를 이용한 감사방법, 월간 공인회계사, 2001.가을호p126-131 7. 한국공인회계사회,“전산화된 환경 하에서의 감사실무 접근방법 사례연구, 2002, p1-5 8. 손원기, “전산감사가 감사품질
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2009.05.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실습 평가회 자료 예시 ◎ 국문초록 ………………………………………………………………………………Ⅴ Ⅰ. 서론 …………………………………………………………………………………1  1. 연구의 필요성 및 목적 ……………………
  • 페이지 132페이지
  • 가격 5,000원
  • 발행일 2014.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2,269건

및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, ADC, UART 제어방법 등 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해 MCU를 이용한 디바이스 제어방법을 이해할 수 있었습니다. 또한, 전기자격증을 취득하는 등 이론과 실무능력을
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
실습 현장에서 더 빛을 낼거라고 생각합니다. 10. 실습기관, 실습지도자 및 실습지도교수에게 바라는 점 저는 항상 긍정적이고 활발하여 이론과 다른 현장에서의 사회복지 프로그램, 서비스, 사례관리 등을 몸소 느끼고 배우면서 힘들고 빡빡
  • 가격 2,000원
  • 등록일 2021.08.04
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
컴퓨터, 통신 등의 정보통신 소프트웨어, 멀티미디어 시스템 등 정보기술에 대한 지식 및 실습을 포함하는 과정을 이수하였습니다. 대학 다니는 동안 방학 때마다 했던 아르바이트는 서비스업에서부터 시작하여 등기구 생산업체 등 많은 경
  • 가격 1,000원
  • 등록일 2003.10.29
  • 파일종류 한글(hwp)
  • 직종구분 전문직
간호전문성을 바탕으로 다양한 간호현장 케이스에 철저하게 대비할 수 있도록 할 것입니다. 여의도성모병원 자기소개서 목차 1.성장과정 2.성격 및 특기사항 3.생활신조 4.여의도성모병원 지원동기 및 장래계획 5.역량 및 업적
  • 가격 2,000원
  • 등록일 2016.06.27
  • 파일종류 한글(hwp)
  • 직종구분 기타
중심의 간호 전문성을 바탕으로 다양한 간호 현장 사례를 철저히 준비하겠습니다. 2023년 이화의료원(목동병원, 서울병원) 간호사 자기소개서 1. 성장과정 2. 성격 3. 생활신조 4. 지원 동기 및 포부 5. 특기사항 6. 경험 및 경력기술서
  • 가격 3,000원
  • 등록일 2022.07.27
  • 파일종류 한글(hwp)
  • 직종구분 의료, 간호직
top