• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5,808건

시스템의 개요 및 발전단계 2. 병렬처리 시스템의 분류 3. 직렬처리방식과 병렬처리방식의 비교 Ⅴ. 컴퓨터 소프트웨어의 종류별 분류 1. 프로그래밍 언어 2. 운영체제 3. 응용 소프트웨어(Application Software) 4. 컴파일러 5. 유틸리티 프로
  • 페이지 26페이지
  • 가격 3,500원
  • 등록일 2009.09.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
2) 범용 소프트웨어 3. 소프트웨어 개발도구 : 프로그래밍 언어 1) 기계어 2) 어셈블리 언어 3) 고급언어 (1) FORTRAN (2) COBOL (3) BASIC (4) C (5) LISP와 Prolog 4) 제 4세대 언어 5) 제 5세대 언어 ※ 참고문헌
  • 페이지 15페이지
  • 가격 3,500원
  • 등록일 2009.09.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
및 데이터베이스 관리시스템 패키지 ⑤ 멀티미디어 소프트웨어 ⑥ 통신용 소프트웨어(communication software) ⑦ 그룹웨어(group ware) 3) 소프트웨어 개발도구 : 프로그래밍 언어 (1) 기계어 (2) 어셈블리 언어 (3) 고급언
  • 페이지 13페이지
  • 가격 2,900원
  • 등록일 2007.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
6 객체지향 프로그래밍 언어의 소개 6-1 Smalltalk 6-2 C++ 6-3 JAVA (1) JAVA의 역사 (2)자바의 특징 6-4 비주얼 베이직 (1)비주얼 베이직 개념 (2) 객체, 속성, 메소드, 이벤트 (3) 절차적 프로그래밍 Vs 이벤트 처리 방식 프로그래밍
  • 페이지 21페이지
  • 가격 1,800원
  • 등록일 2004.07.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
명칭, 장치의 용도 및 입출력 방식, 장치의 사진 또는 관련 그림 ① HMD(HEAD MOUNTED DISPLAY)의 주요 용도 ② 입력장치, 출력장치 2) QR코드 만들기(내용 : 본인의 소속 학과 및 이름, (가)에서 선택한 장치의 명칭) Ⅲ.결 론 Ⅳ.참고문헌
  • 페이지 10페이지
  • 가격 5,000원
  • 등록일 2023.03.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 55건

설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제작 3.2 센서와 서
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 Ⅳ-1. Low Pass Filter Ⅳ-2. LPF Code Ⅳ-3. Band Pass Filter Ⅳ-4. BPF Code V. DSB-SC의 시스템 설계 Ⅴ-1. DSB-SC Modulation Ⅴ-2. DSB-SC Demodulation Ⅴ-3. Carrier 선정 Ⅵ. 결과 및 분석 Ⅵ-1. 구현 Code Ⅵ-2. 송신단 결과 Ⅵ-3. 수신단 결과 Ⅵ-4. Spect
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
설계 구체화 1 1.4 Flow Chart 1 제 2 장 본 론 2 2.1 하드웨어 2 2.1.1 사용물품 설명 및 사양 2 2.1.2 설계 디자인 4 2.1.3 설계 3D 디자인 5 2.1.4 설계 도면 6 2.1.5 회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
codeGal 그림4-4. MBDecodeGal 그림 4-5. IpolGal 그림4-6. Entropy Coding 그림 4-7. 1개의 I frame과 2개의 P frame으로 이루어져 있는 경우 그림 4-8. 1개의 I frame과 5개의 P frame으로 이루어져 있는 경우 그림 4-9. 1개의 I frame과 8개의 P frame으로 이루어져 있
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 53건

시스템 설계 등 정보 처리 전반에 관한 업무를 수행합니다. 자격증 소지자는 주로 기업체 전산실과 소프트웨어 개발업체, 정보통신업체, 시스템 구축 회사, 연구기관 등에서 컴퓨터 시스템을 개발 및 운용하거나 데이터 통신을 이용하여 정보
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
최대한의 역량을 발휘하고 좋은 회사가 원하는 좋은 사람이 되기 위해 발전적인 모습을 갖춘 인재가 되겠습니다. 목차 기업분석 자기소개서 1.지원동기 2.성격의 강점 및 단점 3.성장과정 4.성취경험 및 극복과정 5.입사 후 포부
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
모습을 갖춘 인재가 되겠습니다. 기업분석 - 사업부문 - 업계의 현황 - 회사의 강점 - 주요 제품 현황 - 연구개발 분야 및 기술개발 실적 목차 1.지원동기 2.성격의 강점 및 단점 3.성장과정 4.성취경험 및 극복과정 5.입사 후 포부
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
신체에 대한 유형적 서비스 2) 유형물에 대한 유형적 서비스 3) 사람의 정신에 대한 무형적 서비스 4) 무형자산에 대한 무형적 서비스 2. 고객접촉도에 따른 구분 1) 접촉도 높은 서비스 2) 접촉도 중간의 서비스 3) 접촉도 낮은 서비스
  • 가격 2,800원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
작성TIP ] 1. 자기소개 (400자 이내) “Act, then you will get it” 2. 장점 (200자 이내) “엉덩이에 본드 칠 해놨냐?” 3. 보완점 (200자 이내) “적응력 앞에 단점 없다” 4. 지원동기 및 포부 (500자 이내) “What do u want to do?” [ 면접내용 ]
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top