• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5,295건

다이오드를 공정 할 때 회사들 마다, 불순물 농도를 다르게 넣어 공정하였기 때문이다. 실리콘과 게르마늄 다이오드의 순방향 일 때의 전압과 전류의 관계를 실험 하였는데, 실험 방법은 브레드 보드에 회로를 설치하여, 파워 서플라이로 전
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.12.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
계산하라. 순서 g의 결과와 비교하라. 1.사전 지식 1)반도체 2)진성반도체 3)확산 전류 4)드리프트 전류 5)전류의 방향 2.실험 절차 1)문턱 전압 2)직렬구성 3)병렬구성 4)정논리 AND 게이트 5)브릿지 구성 6)실전 연습 3.분석 및 토의
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2008.12.17
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
다이오드를 사용하여 순방향/역방향일 때 모두 출력이 이루어진다. 그리하여 60Hz의 주파수 전원을 정류하면 120개의 파형(120Hz)이 출력된다. 실험1. 다이오드 특성 및 반파 정류회로 실험 1. 다이오드 2. 다이오드 전압 전류 특성 1) 반파
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2008.12.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
다이오드 전류에 대해 정리하면 이다. 3. 사용 장비 및 부품 - 오실로스코프 - 직류 전원 공급 장치 - 디지털 멀티미터 - 제너 다이오드 : 1N5225 - 저항 : 470Ω, 1KΩ 4. 실험 방법 4.1 파형 정형 회로 그림 2. 전압 안정화 회로 (1) 그림 2의 회로를 구
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2020.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결과 보고서 실험 제목: 다이오드 응용 회로 I 1 In-Lab(본 실험): NI ELVIS II 사용 ■ 실험1 : DMM 이용한 소자 값 측정 1. DMM을 이용한 다이오드 전압 소자 규격 측정 값 순방향 역방향 다이오드 1N4004 0.475V OPEN 1N4004 0.476V OPEN 1N4004 0.471V OPEN 1N4004 0.473V OP
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2016.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 12건

회로 발광 다이오드 3EA 붉은색 1개, 녹색 2개 (LED) 저항 3EA 2개, 1개 브리지다이오드 1EA 4단자 건전지 1EA 9V 표 2. 적외선 송신기 부품 목록 품 목 수 량 비 고 IC 2EA TC9148 1개, 455 1개 capacitor 및 콘덴서 3EA 101J 2개, 1개 다이오드 4EA 발광다이오드 1개(
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론-----------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험에서는 약 4μ[sec]의 데드타임을 두었다. 비선형 부하로는 1kVA 용량의 단상전파 다이오드정류기에 RC 병렬부하를 연결하여 사용하였다. 부하저항으로는 50[Ω]의 저항을 사용하였다. 그림 7은 시뮬레이션에서와 같이 전원전류가 고조파성분
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험은 전자가 p-type 구역으로 흘러 들어간다는 사실을 입증하긴 했으나 그 전자들이 어디서 오는지는 말해주지 못한다. 그리고 전자 누출에 관한 슈베르트의 이론이 그 결과를 설명해 줄 수 있기는 하지만 다른 식으로 설명해낼 수 있는 여지
  • 페이지 10페이지
  • 가격 1,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
rding Length : 250K Trigger Position : 40 Cycles : 5 10 5 25 5 2.3.2 실험 방법 [그림 1-3] 3차권선 실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 46건

담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에 입사하
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기억으
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적인
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로 종합설계 발표_대본.hwp…………………………………………………1p ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒ 종합설계 최종보고.hwp………………………………
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
top