• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 150건

D 0 0 0 0 D0 0 0 0 1 D1 0 0 1 0 D2 0 0 1 1 D3 0 1 0 0 D4 0 1 0 1 D5 0 1 1 0 D6 0 1 1 1 D7 1 0 0 0 D8 1 0 0 1 D9 D0 = A'B'C'D' D5 = A'BC'D D1 = A'B'C'D D6 = A'BCD' D2 = A'B'CD' D7 = A'BCD D3 = A'B'CD D8 = AB‘C'D' D4 = A'BC'D' D9 = AB‘C'D 2). 인코더(ENCODER) 10진수나 8진수를 입력으로 받아들여 2진
  • 페이지 6페이지
  • 가격 500원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Encoder 을 제작하는 실험으로 74ls148을 사용하였다. 0N부터 7N, EIN 총 9개의 Input과 EON,GSN, A0N,A1N,A2N 5개의 Output이 있다. EIN은 항상 ‘0’을 넣어주어야 인코더 소자가 동작을 올바로 동작 하고, 0N에 ‘0’을 넣고 나머지는 ‘1’을 넣으면 A0N,A1N,A2N
  • 페이지 27페이지
  • 가격 3,000원
  • 등록일 2007.01.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
-2 인코더 설계 그림 2-1에 나타내었던 4-to-2 인코더는 어느 한 순간에 입력들 중 오직 한 입력만이 1이어야 정상적으로 동작할 수 있다는 제약 을 가지고 있다. 예를 들어 입력 D1과 D2가 동시에 1이 되면 출 력 AB=11이 되어 마치 입력 D3이 1인 것처
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
라면 3개의 출력 모두 1이기 때문에 인코더의 출력은 111이 될 것이다. 이것은 2진의 3도, 2진의 6도 아님을 의미한다. 이런 모호함을 해결하기 위해서 인코더 회로는 오직 1개의 입력만 인코더 되도록 입력의 우선순위를 설정해야 된다. 아래첨
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2009.04.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
인코더(encoder)의 상대용어로, 흔히 디코더(decoder)라고 한다. 인코더는 우리말로 부호기(符號機)라고 한다. 컴퓨터의 디지털 데이터를 부호기를 사용하여 아날로그 데이터로 변환시킨 후 네트워크로 전송하고, 전송된 아날로그 데이터를 해독
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

encoder <표4-1. Verilog encoder> module hamm_enc(in,out,reset); input [7:0] in; output [11:0] out; input reset; reg [11:0] out; integer i, j; always@(in or reset) begin if(reset) out = 0; else begin i=0; j=0; while((i<11)||(j<7)) begin while(i==0||i==1||i==3||i==7) begin out[i]=0; i=i+1;
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
encoder와 MCU, Blue tooth, servo motor를 이용하여 하드웨어 모듈을 구현하고 VOR 알고리즘을 개발하여 실제 로봇에 적용시켜 보았다. 이번 연구의 목표는 보고자 하는 물체가 시각센서 시야의 중앙에 위치하도록 VOR 알고리즘을 구현하는 것이었다. 알
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top