• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 17건

문제도 함께 고려되어야 할 것이다. 1. 서론 2. SMPS의 기술동향 1) 소형화 기술 2) 디바이스 기술 3) SMPS의 집적화 3. SMPS의 종류 및 특징 1) PWM 컨버터 2) 공진형 컨버터 3) 소프트 스위칭 컨버터 4. 결론 5. 참고문헌
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2006.09.15
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. SMPS 제어 회로 설계 SMPS에서 출력 전압의 안정화를 위해서는 부궤환 제어 회로가 필요하다. 따라서 부궤환 제어 회로의 대표적인 방식인 펄스폭 변조(Pulse Width Modulation : PWM) 방식을 채택한 PWM 제어 회로를 설계하였다. 여기서 DC-DC 컨버터는 B
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2011.01.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
PWM이라 한다. ○ PWM 발생원리 - PWM 제어는 컨버터부에서 Diode Module을 이용하여 AC전압을 DC전압으로 정류시켜 콘덴서로 평활시킨 다음 인버터부에서 직류전압을 Chopping하여 펄스폭을 변화시켜서 인버터 출력전압을 변화시키며 동시에 주파수를
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2010.12.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
PWM 회로보다 아날로그 PWM 회로 쪽 코스트 퍼포먼스가 좋아 사용하기 편리 할 것이다.파이프라인 적합연산의 DSP가 더욱 고속이며 저가로 된다 면 인버터, 스위칭 전원, 직류-직류 컨버터 등 모든 전원회로 가 디지털 제어로 되는 시대가 올 것
  • 페이지 8페이지
  • 가격 2,400원
  • 등록일 2008.12.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
PWM 발진을 이용하여 전원을 제어 하므로 효율이 무척 좋다. < PWM 제어회로 모듈 UC3845 > (2) SMPS (Switching mode power supply) 일정한 직류 출력 전압 (DC Regulated Output voltage)을 부하에 공급해 주는 직류 안정화 전원이다. DC-DC 컨버터에 있어서 부품
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 6건

컨버터-인버터 회로를 설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
컨버터-인버터 회로를 설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
컨버터-인버터 회로를 설계하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
컨버터-인버터 회로를 제작하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
컨버터-인버터 회로를 제작하여 입력전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top