• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,270건

 1. 서 론 2. 본 론 1) Signal transduction 2) 신호전달 체계를 통한 발달 3) T 세포의 신호전달(Signal transduction in T cells activation) 4) 신호전달 체계의 이상 5) TGF-β1과 관련된 신호전달체계 6) TNF와 Fas 신호전달과 세포사
  • 페이지 36페이지
  • 가격 1,500원
  • 등록일 2007.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
신호전달과정의 지도화가 요구된다. 2. signal transduction 모든 세포는 그 혼자로서는 존재하지 못하고 내적, 외적 자극에 상호 반응하며 생명활동을 전개 유지해 나간다. 따라서 그러한 자극이 어떤 경로를 거쳐 영향을 미치는지 그 mechanism을 안
  • 페이지 66페이지
  • 가격 3,000원
  • 등록일 2004.08.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
세포 신호전달 (Cell Signal Transduction) 1. 개요 신호의 수용 → 세포 내 신호 전달 → 반응 ① 신호의 수용 (Reception) 표적세포가 세포외부에서 오는 신호물질을 세포 표면이나, 내부(세포질 혹은 핵질)에 존재하는 수용체와 결합하여 탐지된
  • 페이지 9페이지
  • 가격 1,500원
  • 등록일 2015.09.23
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
신호를 전달해 주고 있다. 아직은 많이 알려지지 않은 내용들이며 각 대학의 연구실이나 다른 연구원 등에서 많은 연구가 활발히 이루어지고 있다. 3.결론 지금 까지 세포의 신호전달 (signal transduction)에 대하여 알아보았다. 신경 전달물질, 호
  • 페이지 22페이지
  • 가격 3,300원
  • 등록일 2013.07.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
신호전달(Signal transduction of growth cone) 3. Growth-associated protein (GAP-43) 4. 당단백질과 세포외기질(Glycoprotein and Extracellular Matrix) 1) 당단백질(glycoprotein) 2) 세포외기질(Extracellular Matrix) 5. 성장인자(Growth Factor) 6. Cytokines 7. 신경재생을 촉진하는 기
  • 페이지 16페이지
  • 가격 2,800원
  • 등록일 2007.03.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 17건

신호전달(Retrograde signaling) 제 4절 ---------------------------------------- 시냅스 표지(Synaptic tagging) 제 5절 --------------------------------------- 장기저하(Long Term Depression) 제 6절 ------------------- 해마 CA1부위 장기저하의 분자세포생물학적 연구 모델 제 7절 -
  • 페이지 46페이지
  • 가격 3,000원
  • 발행일 2009.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
신호체계에 대한 새로운 제안”. 「대한교통학회지」 15.김태호원제무이수일(2002) “토지이용과 보행자 행태를 고려한 보행자 가로횡단시간 분석에 관한 연구”.「국토계획」 16.도로교통안전관리공단(2004) 횡단보도 보행신호시간 산정 및 운
  • 페이지 11페이지
  • 가격 3,000원
  • 발행일 2010.04.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
신호의 측정기술, 실내 사무실환경에서의 UWB 전파특성 및 기존 협대역 통신시스템과의 영향을 이론 및 실험적으로 살펴보았다. (이하 생략) 1. 소개  1.1 초광대역 신호 정의(UWB Signal Definition)  1.2 초광대역 시스템 특성(UWB System Charact
  • 페이지 68페이지
  • 가격 10,000원
  • 발행일 2014.12.02
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
신호가 전달되었을 때 약간의 delay를 제외한 동작이 정상적으로 작동하였다. 또한 무게중심이 우측으로 치우쳤지만 동작하는데 큰 지장이 없었다. 이 소형 무인 탐사 로봇은 다음과 같은 효과를 기대한다. 사람이 접근하기 힘든 곳에 로봇을
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
신호 전달을 통하여 (1)의 주차 시뮬레이션의 내용을 실행한다. 1. 서론 1.1 주제 선정 동기 1.2 연구목적 1.3 보고서 구성설명 2. 본론 2.1 기본원리 (1) 주차 시뮬레이션 (2) 시스템 구성 (3) 시스템 구성원리 및 특
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 13건

신호전달 경로의 이해를 통해, 특정 질병에 대한 새로운 치료법을 개발하는 것입니다. 이러한 연구 목표는 제 장래 진로와 밀접하게 연결되어 있습니다. 저는 장기적으로 생물학 연구자로서의 경력을 쌓고, 특히 질병 치료와 관련된 생물학
  • 가격 4,000원
  • 등록일 2024.01.16
  • 파일종류 워드(doc)
  • 직종구분 기타
신호들을 전달할 수 있는지, 사람들이 필요한 종류의 기능들을 어떻게 만들 수 있는 지, 조금 더 저렴하게 만들 수 있는지, 간단하고 편리하게 구성할 수 있는지 등에 대한 고민을 하여 작은 통신기기들 속에서 저마다 큰 역할을 가지는 것을 5
  • 가격 1,300원
  • 등록일 2013.10.28
  • 파일종류 한글(hwp)
  • 직종구분 전문직
신호를 효율적으로 전달하는 패키징 기술을 수반하여 상품성을 확보하는데 최선을 다할것입니다. 그리고 연구개발, 구매, 품질보증, 생산기획 등 현재 5개국 13개 생산기지를 갖춘 앰코테크놀로지의 주요 기능을 주도적으로 수행하며 글
  • 가격 1,800원
  • 등록일 2013.01.02
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
신호처리에 대한 프로그램을 구성했습니다. 당시 업무를 처리하는 과정에서 빅데이터 자료를 활용하고 그에 따른 코딩 작업을 실시하여...(이하생략) - 자기소개서 1. 자발적으로 최고 수준의 목표를 세우고 끈질기게 성취한 경험에 대
  • 가격 3,000원
  • 등록일 2021.02.23
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
signal A and B. Also, write a logic table and describe it by using a logic gate. (R1 ~ R7 is nothing but just a path of electrical signal. For example, you can describe electrical path as following. P -> R2 -> D1 -> A -> R6 -> N) 12. A high-voltage, direct current (HVDC) electric power transmiss
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
top