• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 269건

디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. 제작 1. 부품리스트 2. 회로도 3. 소스코드 4. 실험결과 5. 기능별 원리 및 동작해석 (1) Timer/counter를 이용한 ‘1초’ 카운트 (2) 키
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
소스코드 4) 실험결과 5) 원리 및 동작해석 2. EEPROM의 데이터 읽기 1) 실험개요 2) 부품리스트 3) 회로도 4) 소스코드 5) 실험결과 6) 원리 및 동작해석 3. EEPROM에 문자열 저장하고 불러오기 1) 실험개요 2) 부품리스트 3) 회로도 4) 소스코드
  • 페이지 16페이지
  • 가격 4,000원
  • 등록일 2015.11.16
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
스위치가 닫히면 CARM_A=0; CARM_B=0; CARM_E=0; car=0; // 멈춤 delay_ms(200); // 시간 지연 } } }while(1); 1. 과제의 필요성 2. 과제 해결 방안 및 과정 3. 개념설계 및 상세설계(계산) 4. 기대효과 및 개선 방향 5. 후기 6. 참고문헌 7. 회로도, 사진, 소스
  • 페이지 11페이지
  • 가격 6,000원
  • 등록일 2010.06.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
프로그램의 flow chart ▶디지털시계 작동 결과물 ~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계> ▶이론 및 배경 ▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부 ▶프로그램 소스파일 분석 ▶전체 프로그램의 flow chart
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2011.12.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 온도측정이 이전 실험보다 온도에 비교적 민감하게 반응한다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. 실험 1. 단극성 입력으로 온도측정하기 - 실험개요 - 부품리스트 - 회로도 - 소스코드 - 실험결과 - 원리 및 동작해
  • 페이지 25페이지
  • 가격 4,000원
  • 등록일 2016.05.09
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 10건

울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302 3장. 2절. 6 KEY PAD 3장. 2절. 7 TSL250RD 3장. 2절. 8 MAX232CSE 3장. 2절. 9 ZigBee 3장. 3절 소프트웨어 설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atm
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Atmega128) 2.2.1.1 센서부 2.2.1.2 모터부 2.2.1.3 시리얼 2.2.2 Labview 2.2.2.1 전체시스템 2.2.2.2 시리얼 2.2.2.3 Multi thread 2.2.2.4 차량정보 입력 알고리즘 2.2.2.5 차량정보 삭제와 재정렬 알고리즘 2.2.2.6 실시
  • 페이지 20페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo Motor Drive 17 4.2.5 DC Motor Drive 18 4.2.6 Camera Modu
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
ATmega128 정복』, OHM, 2007. [4] Atmel, http://www.atmel.com/dyn/resources/prod_documents/doc2467.pdf [Online] Available. [5] PyroElectro, http://www.pyroelectro.com/tutorials/servo_motor/index.html [Online] Available. [6] http://mil.ufl.edu/~achamber/servoPWMfaq.html, [Online] Available. 5. Ab
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top