|
tton on the AV device\'s panel.\\n\");
break;
case BTSDK_AVRCP_OPID_AVC_PANEL_STOP:
printf(\"The user has pressed down \'Stop\' button on the AV device\'s panel.\\n\");
break;
case BTSDK_AVRCP_OPID_AVC_PANEL_PAUSE:
printf(\"The user has pressed down \'Pause\' button on the AV device\'s panel.\\n \")
|
- 페이지 50페이지
- 가격 10,000원
- 등록일 2020.11.02
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
machines/
www.eecs.umich.edu/~mazum/eecs270/lab/lab6.pdf
http://accad.osu.edu/~pgerstma/class/vnv/examples/fsm/cokeMachine.pdf 1 연구배경
1.1 자판기 사전적 의미.............................................3
1.2 자판기 종류별 구조적 설명.......................................4
2 설계
|
- 페이지 26페이지
- 가격 3,300원
- 등록일 2013.03.02
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
필수 조건
- 돈을 투입 후, 잔액에 대해서도 반복적으로 구입이 가능.
- 금액이 모자랄 경우, “000원 금액이 모자랍니다.” 라고 출력 후 주제
필수조건
이론설명
고찰
C CODE FILE
|
- 페이지 1페이지
- 가격 2,000원
- 등록일 2010.04.16
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Vending_Machine;
reg Clk, reset, choice; //클락, 반환버튼, 음료선택 활성화
reg [1:0]In; //동전입력 00:0원 , 01:500원, 10:1000원
wire Change, exceed;
wire [1:0]Out;
// Instantiate the Unit Under Test (UUT)
DYB_vending uut (
.Clk(Clk),
.reset(reset),
.choice(choice),
.In(In),
|
- 페이지 25페이지
- 가격 3,000원
- 등록일 2014.06.21
- 파일종류 피피티(ppt)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity vending is
port( clk, reset : in std_logic;
coin_10, coin_50, coin_100, coin_500 : in std_logic;
button_coffee, button_tea, button_orange, button_cok
|
- 페이지 1페이지
- 가격 3,000원
- 등록일 2011.08.29
- 파일종류 기타
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|