• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 196건

1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3. Theory  전파지연시간(propagation delay) 􀂾 신호
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
터 블록의 모델링 <그림 2-32> 레지스터 VHDL entity 코드 일곱 개의 컴포넌트를 이용하여 레지스터의 블록 전체를 연결하면 된다. 위에는 VHDL 코드의 entity 부분이다. 2) 레지스터 블록 다이어그램 <그림 2-33> 레지스터 블록 다이어그램 3)
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회사에서 제공하는 문서 편집기를 이용할 경우 시각적으로 서로 다른 색깔을 사용함으로써 이를 구분해 주는 경우도 있다. <표 1>에 예약어가 알파벳 순서로 정렬되어 있다. 10. VHDL 주석 VHDL에서 주석은 -- 로 표시된다. 여느 프로그래밍 언
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 익히는 것이었다. 물론 Gray code에 대한 이해도 하였으나 그것보다는 프로그램의 이해와 활용에 더 많은 시간이 소요되었다. 그 과정에서 QuartusII를 이용하여 implement로 표현하여 프로그램을 돌리는 것도 시도하였으며 그 결과 function을 VHD
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL에 대한 이해부족으로 많은 어려움이 있었지만, 설계를 진행하가면서 VHDL에 대한 이해와 논리회로 설계에 대해 많은 것을 공부하고 배울 수 있었다. 앞으로 VHDL을 사용하여 회로를 이해하고 구성하는데 오늘의 프로젝트가 많은 도움이 될
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 5건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL에 대해서 전혀 몰랐을 때, 비터비 디코더를 구현해 보기 위해 몇 날 며칠을 컴퓨터와 같이 동고동락을 한 적도 있습니다. 1. 성장과정 / 성격의 장?단점 - [지구가 폭발하여도 살아 남는 아이] / [시작과 끝을 반드시 만들어가는 강한 추
  • 가격 1,300원
  • 등록일 2007.06.01
  • 파일종류 워드(doc)
  • 직종구분 전문직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
VHDL에 관하여 어느 정도의 기본지식을 습득하고 있습니다. 그리고 현재 정보처리산업기사 자격증을 보유하고 있습니다. 이러한 기본지식을 바탕으로 제가 대학원에 진학하여 구체적으로 알고 싶고 연구하고 싶은 분야는 디지털공학, 물리전
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
얻을 수 있었습니다. 그리고 지속적인 영어 공부를 통해서 영어만큼은 모국어처럼 사용하고 싶은 욕심을 채워 나가겠습니다. -----------------------특기 사항 * 영어회화가 가능 * 인터넷 활용, 비주얼 C++, MySQL, VHDL * 스포츠: 축구, 테니스 
  • 가격 1,200원
  • 등록일 2010.03.18
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top