• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 2,610건

실시한 결과 문제점으로 드러난 1인 미디어의 자극적이고 선정적인 내용은 방송 전에 사전 검열을 하거나 플랫폼 내부의 모호한 규정을 구체화하는 등의 강력한 제제가 필요하며, 학생들이 자극적인 내용에 대해 대수롭지 않게 여기며 수용
  • 페이지 21페이지
  • 가격 2,000원
  • 발행일 2024.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A comparative study of teacher talk in English class between English L1 and Chinese L1 Teacher. The Linguistic Association of Korea Journal, 28(3), Great achievements on classroom discourse research has been made in China and empirical research using the method of discourse analysis on teacher talk
  • 페이지 18페이지
  • 가격 28,000원
  • 발행일 2023.02.22
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
 클린턴 정부는 북한의 핵개발 수위를 정확히 판단할 수 없기 때문에 손실을 최소화하고자 현상유지 정책을 추진하였다. 1차 북핵위기가 발발했을 때 클린턴 정부의 어조는 강경했다. 그러나 클린턴 정부는 미국의 실익이 군사제재의 모험
  • 페이지 189페이지
  • 가격 2,000원
  • 발행일 2015.02.01
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
학혜택도 목표달성에 미치지 못하는 등 ‘작고 강한 학교’만들기에는 역부족. 국제수준의 ‘제주형 자율학교’ 성공적 정착 대상 학교를 대폭 늘리는 반면 예산은 크게 줄어드는 등 실패한 정책이라는 지적. 특히 제1기 성과 평가도 하지 않
  • 페이지 30페이지
  • 가격 5,000원
  • 발행일 2010.01.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 제 1 장 들어가는 말 제 2 장 공무원시험 경쟁률과 우리나라 실업률, GDP통계 제 1 절 변수의 선택 제 2 절 공무원 시험의 경쟁률 제 3 절 우리나라 실업률 통계자료 제 3 절 우리나라 GDP통계자료 제 4 절 계량분석 결과 제 5 절
  • 페이지 32페이지
  • 가격 3,500원
  • 발행일 2009.12.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 제 1 장 서 론 1 제 1 절 문제제기와 연구목적 1 1. 문제제기 1 2. 연구목적 2 제 2 절 연구범위와 연구방법 3 1. 연구범위 및 연구방법 3 제 2 장 연구의 이론적 고찰 4 제 1 절 호텔종사원의 직무만족 및 작업환경 과
  • 페이지 56페이지
  • 가격 9,000원
  • 발행일 2010.02.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 Ⅰ. 서 론 1) 개 요 2) 기 후 3) 주요내용 4) 문화유산 5) 호이안의 현재모습 Ⅱ. 본 론 1) 호이안의 주요 특징 2) 호이안의 역사(베트남의 고대왕국 참파) 2-1)참족의 역사 3) 호이안의 주요 건물들 3-1) 호이안의 역사적인 건물들
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 <목 차> 제 1 장 서 론 1 제 1 절 연구배경 및 목적 1 제 2 절 연구방법과 대상 2 제 3 절 논문의 구성 2 제 2 장 한국 자동차 산업 노사관계 4 1. 노사관계 환경 4 1) 시장 및 기술변화 4 2) 산업구조 및 정책 5 3)
  • 페이지 165페이지
  • 가격 10,000원
  • 발행일 2011.10.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
찬위원회, op.cit., p. 57. <9절>우리가 전에 말하였거니와 내가 지금 다시 말하노니 만일 누구든지 너희의 받은 것 외에 다른 복음을 전하면 저주를 받을지어다 제 1 조건문으로 서술된 진리는 앞의 8절을 가리키는 것으로서, 제 2 조건문으로
  • 페이지 11페이지
  • 가격 3,000원
  • 발행일 2010.10.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top