• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 1건

된다. (4.1.20) 는 VCO 입력 capacitance와 병렬 결합되어 있으므로 loop filter 특성 왜곡을 방지하기 위해서 3배 이상의 크기를 가져야 한다. 제 2 절 PLL 설계 및 제작 본 논문에서 최종적으로 제작하고자 하는 것은 DDS를 이용해 800MHz의 출력 주파수를
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 다음
top