• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 35건

---------- p.26 Ⅴ. 결과 고찰 ----------------------- p.27 Ⅵ. 결 론 ------------------------- p.29 Ⅶ. 참고 문헌 ------------------------ p.30 Ⅷ. 부 록 (1) 전체 회로도 ------------------- p.31 (2) 프로그램 소스 ------------------ p.32
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
가능합니다. 압축파일에는 회로도, 소스파일들이 들어가 있습니다. 기타 문의는 http://cafe.naver.com/suwonlion 에 남겨주시면 답변 드리겠습니다. 이곳에 동작하는 동영상이 있습니다. 사진도 있습니다. http://cafe.naver.com/suwonlion/7
  • 페이지 10페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
sources used (정보 소스의 사용) -대형주방기기의 1/3의 구매자가 단지 하나의 소스로부터 정보를 얻음 이는 더욱 활동적인 정보추구자들도 몇 가지만 사용함 -15%의 구매자가 구매 전에 외부적 소스에 영향을 받지 않고 구매(승용차, 주방기기)
  • 페이지 8페이지
  • 가격 4,000원
  • 발행일 2009.12.28
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
소스와 브랜드와 관련된 다양한 이해 관계자들의 의미의 공유에 의해서 만들어지는 open 소스로 나눠볼 수 있다. 현재 상황에서는 open 소스를 지닌 브랜드들의 생산의 비중은 크지 않지만 향후에는 open 소스를 통해서 생산되는 브랜드 들이 대
  • 페이지 9페이지
  • 가격 5,000원
  • 발행일 2014.05.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소스파일과 실행파일, 마이컴부분 소스파일이 포함되어 있습니다. 회로도는 첨부된 보고서에 작성되어 있습니다. 목 차 1. 개발개요 2. 주차장 관리 시스템 설계내용 2.1 하드웨어 구성 2.1.1 전원부 2.1.2 센서부 2.1.3 모터
  • 페이지 20페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
소스의 입력으로 들어가게 되었다. 제일 비슷한 블록의 값들이 출력 되었으며, 이는 앞서 출력한 결과와 같음을 볼 수 있다. 또한 DCT된 영상값을 보면 저주파 즉 왼쪽 위의 모서리부분의 값이 제일 큰 정보가 집중되어있음을 볼 수 있다. 이를
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
설계 동기와 목적 전체 시스템 블록도 및 계층도 LCD display LED/7 Segment display 하드웨어 설계 통합 단위 모듈 테스트 H/W 구현 및 외관 제작 결론 설계 동기
  • 페이지 9페이지
  • 가격 2,800원
  • 발행일 2009.07.20
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
OFDM Modulation/Demodulation, Channel Coding/Decoding 등이 포함된 시뮬레이션용 C++언어 소스코드입니다. Visual Studio 6.0 기반으로 만들었습니다. 
  • 페이지 41페이지
  • 가격 8,000원
  • 발행일 2009.06.08
  • 파일종류 압축파일
  • 발행기관
  • 저자
이전 1 2 3 4 다음
top