• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 19건

제어부 ③ ZigBee 무선 통신 모듈 ④ 전원부 - Remote Control Module <그림 2 Remote Control Module> - 동작화면 <그림 3 동작화면> 1장. 작품의 필요성 2장. 작품과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제어 입문, 원창출판사,1999, pp9~10 [7] 임승철, 용대중, 유연한 수평 다관절 로봇의 진동제어, 한국소음진동공학학회지 7권 제 3호, 1997, pp 387~392 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터 ----------------------------- 4.4.2 휴대폰과 컴퓨터의 무선 통신 ------------------ 4.4.3 휴대폰과 자동차의 무선통신 -------------------- 4.4.4 휴대폰과 자판기의 무선 통신 ------------------ 4.4.5 홈 오토메이션 (Home Automation) ----------------- 4.4.6 디
  • 페이지 44페이지
  • 가격 15,000원
  • 발행일 2010.01.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제어 3.3.1 6-스텝 커뮤테이션(Six Step Commutation) 3.3.1.1 6-스텝 커뮤테이션의 이해 3.3.1.2 홀-센서 피드백 6-스텝 커뮤테이션의 개요 4. BLDC 모터의 제어 4.1 BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기에 의한
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제어 3) 알고리즘 과정 4) 관련연구와 비교 Ⅲ 멀티미디어를 위한 네트워크 구조 1. 기존의 네트워크 구조 2. 개선된 네트워크 구조 Ⅳ 분할 네트워크의 효율성 실험 및 분석 1. 실험환경 및 내용 2. 실험결과 및
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제어 30 2.2.1.11 Timer Main 32 2.2.1.12 UART Main 33 2.2.2 컨트롤러 코딩 36 2.2.2.1 컨트롤러 Delay 함수 36 2.2.2.2 컨트롤러 Main 37 2.2.2.3 컨트롤러 UART Main 39 2.2.2.4 컨트롤러 UART 함수 40 2.3 실험 및
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 건의사항  제2절 노인대학 수강생에 대한 조사결과   1. 인구사회학적 특성   2. 노인교육프로그램 참여 현황   3. 노인대학 수강생의 생활실태   4. 노인교육 참여후 변화된 생활태도   5. 노인교육프로그램에 관한 욕구
  • 페이지 71페이지
  • 가격 4,500원
  • 발행일 2013.05.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 다중화   가) OFDM 기술  2) 고속 패킷 전송 방식   가) MIMO(Multiple Input Multiple Out)   나) High-order Modulation/AMC  3) 무선 링크 제어   가) HARQ(Hybrid Automatic Repeat reQuest)   나) 패킷 스케줄러  4) 기타 기술   가) Smart Antenna 기
  • 페이지 14페이지
  • 가격 2,000원
  • 발행일 2014.10.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 구성·········· 3 Ⅱ. 본 론 1. 중국의 경제 현황 및 특징······· 5 가. 중국의 경제 현황·········· 5 나. 중국경제의 특성··········· 7 1) 시장 규모··············7 2) 내수 시장············· 8 3)
  • 페이지 54페이지
  • 가격 3,000원
  • 발행일 2007.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 개요 ----------------- 1.2. 목적 ----------------- 1.3. 새로운 시스템 ----------------- 2. 본론 ----------------- 2.1 기본 원리 및 구성 ----------------- 2.2 AVR의 특성 ----------------- 2.3 최소 자승법 ----------------- 2.4 일반적 영상 처리 ----------------- 3.결과
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
이전 1 2 다음
top