• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 8건

에너지 소비에 미치는 영향에 관한 실증분석』, 서울시립대학교 석사학위논문. 19. Banister D(1992), 『Energy use, transport, and settlement pattern』, in Sustainable Development and Urban From (ed. M Breheny), Pion, London. 160-181. 20. Gordon P·Richardson H(1989), 『Gasoline Consumptio
  • 페이지 13페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Use of Third Party Logistics Services by Large American Manufactures 1991, 1994 and 1995," Journal of Logistics, 1996, Vol, 17, No. 1, pp. 314~315. Martin Christoper, Logistics and Supply Chain management, Pitman Publishing, 1992, p.28 McGinnis, M.A., S.K. Boltic and C.M. Kochunny, "Trends in Logist
  • 페이지 29페이지
  • 가격 3,800원
  • 발행일 2008.03.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Source-Multi Use전략을 강화해 나가야 하며, 특히 애니메이션의 부가가치를 극대화하기 위해 캐릭터 관리능력을 강화해야 한다. 또한 애니메이션의 기획능력을 보완하고, 제작기술을 습득하며 자금 조달, 해외시장 확보 차원에서 기술 및 해외
  • 페이지 21페이지
  • 가격 3,300원
  • 발행일 2012.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소스 멀티유즈(one source - multi use)의 핵심 콘텐츠가 된다. 스포츠는 미디어 분야와 함께 21세기의 대표적인 유망산업으로 꼽힌다. 미국 프로농구나 야구가 세계적으로 많은 팬을 확보하고 있듯이 스포츠시장에는 국경이 사라진지 오래다. 데요.
  • 페이지 17페이지
  • 가격 2,900원
  • 발행일 2008.11.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소스 멀티 유즈(One Source Multi Use)를 기반으로 수익 증대뿐 아니라 글로벌 시장에 내놓아도 뒤떨어지지 않는 경쟁력 있는 콘텐츠를 제작하였다는 데 있다. 이로써 CJ E&M은 기존 국내 문화 산업의 프레임에 새로운 패러다임을 제시했다고 볼 수
  • 페이지 14페이지
  • 가격 3,500원
  • 발행일 2014.06.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
use and regylated by appropriate government. Emerging short-range raido applications, however, have recently encoraged the develpment of low-power emission systems and the low power density of these signals allows them to overlay prescent usage without harmful interferece. Moreover, an UWB radion ca
  • 페이지 68페이지
  • 가격 10,000원
  • 발행일 2014.12.02
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
에너지 획득과 비만 2) 장내 미생물의 장 투과성 조절과 염증반응 3) 장내 미생물과 독성 대사체 2.3 장내 미생물에 영향을 주는 요소들 2.4 장내 미생물 타겟 신약개발 전략 2.4 장내 미생물 신약개발을 위해 필요한 것들 Ⅲ. 결론 Ⅳ.
  • 페이지 21페이지
  • 가격 5,000원
  • 발행일 2017.01.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
이전 1 다음
top