
-
1
-
2
-
3
-
4
-
5
-
6
-
7
-
8
-
9
-
10
-
11
-
12
-
13
-
14
-
15
-
16
-
17
-
18
-
19
-
20
-
21
-
22
-
23
-
24
-
25
-
26
-
27
-
28
-
29
-
30
-
31
-
32
-
33
-
34
-
35
-
36
-
37
-
38
-
39
-
40
-
41
-
42
-
43
-
44
-
45
-
46
-
47
-
48
-
49
-
50
-
51


목차
[db]
[doorlock]
[incremental_db]
door_lock.done
door_lock.flow.rpt
door_lock.map.rpt
door_lock.map.summary
door_lock.qpf
door_lock.qsf
door_lock.qws
door_lock.vhd
door_lock.vhd.bak
245KB
[doorlock]
[incremental_db]
door_lock.done
door_lock.flow.rpt
door_lock.map.rpt
door_lock.map.summary
door_lock.qpf
door_lock.qsf
door_lock.qws
door_lock.vhd
door_lock.vhd.bak
245KB
본문내용
door_lock.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity door_lock is
port(
clk : in std_logic;
sw1,sw2 : in std_logic;
key1 : in std_logic;
clear : in std_logic;
led1,led2,led3,led4,ledok : out std_logic;
segs1,segs2,segs3,segs4 : out std_logic_vector(0 to 6)
);
end door_lock;
architecture t_1 of door_lock is
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,key_det : std_logic;
signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
signal save1,save2,save3,save4 : std_logic_vector(3 downto 0);
begin
process(clear,clk)
begin
if(clear ='1') then
sw1_d <= '0';
sw1_det <= '0';
sw2_d <= '0';
sw2_det<= '0';
key_d <= '0';
key_det <= '0';
elsif rising_edge(clk) then
sw1_d <= sw1;
key_d <=key1;
sw2_d <= sw2;
if(sw1='1') and (sw1_d ='0') then
sw1_det <= '1';
elsif(sw2='1')and (sw2_d ='0') then
sw2_det <= '1';
elsif(key1='1') and (key_d = '0') then
key_det <= '1';
else
key_det <= '0';
sw1_det <= '0';
sw2_det <= '0';
end if;
end if;
end process;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity door_lock is
port(
clk : in std_logic;
sw1,sw2 : in std_logic;
key1 : in std_logic;
clear : in std_logic;
led1,led2,led3,led4,ledok : out std_logic;
segs1,segs2,segs3,segs4 : out std_logic_vector(0 to 6)
);
end door_lock;
architecture t_1 of door_lock is
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,key_det : std_logic;
signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
signal save1,save2,save3,save4 : std_logic_vector(3 downto 0);
begin
process(clear,clk)
begin
if(clear ='1') then
sw1_d <= '0';
sw1_det <= '0';
sw2_d <= '0';
sw2_det<= '0';
key_d <= '0';
key_det <= '0';
elsif rising_edge(clk) then
sw1_d <= sw1;
key_d <=key1;
sw2_d <= sw2;
if(sw1='1') and (sw1_d ='0') then
sw1_det <= '1';
elsif(sw2='1')and (sw2_d ='0') then
sw2_det <= '1';
elsif(key1='1') and (key_d = '0') then
key_det <= '1';
else
key_det <= '0';
sw1_det <= '0';
sw2_det <= '0';
end if;
end if;
end process;
추천자료
인공조명 이론고찰 및 전시공간의 조명설계사례
자치경찰제 도입 분석
질적연구방법론에서의 질적연구설계
피뢰침 설비 레포트
[수업설계][수업설계 전개][수업설계 유의점][수업설계 제고과제]수업설계의 개념, 수업설계...
코스웨어(교육용소프트웨어)의 정의와 장단점, 코스웨어(교육용소프트웨어)의 기획단계와 설...
창의적 공학설계 - 천하무적 우산
공조설비설계 최종 제출
무역과보험 - 1. 보험계리사, 손해사정사, 보험설계사(보험모집인), 보험중개사 & 2. 201...
[가계재무관리]학생 자신의 가계를 대상으로 한 장기재무설계(5~10년) 및 단기재무설계(1년)...
개정 ‘한국사’ 교과서의 조선후기 농민에 대한 내용의 개선방안 {연구 목적 및 필요성, 역사...
어플을 이용한 주차장 확인, 안내도 A+ 받은 PPT(소프트웨어 공학, 시스템 설계도 , cpm, cpm...
사회복지 프로그램) 성인 발달장애인의 평생설계와 역량강화를 위한 ‘미래희망프로젝트’
소개글