디코더 결과보고서
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

디코더 결과보고서에 대한 보고서 자료입니다.

목차

1. 이론

2. 코드 및 파형

3. 고찰 및 결론

본문내용

수 있다.
② clock이 포함 된 디코더

library ieee;
use ieee.std_logic_1164.all;
entity dec3x8 is
port(clk:in std_logic;
sel:in std_logic_vector(2 downto 0); // 입력과 출력을 벡터로 지정해 주어서 편
y:out std_logic_vector(7 downto 0)); 하게 프로그램이 짜여지게 하였다.clk와
end dec3x8; sel은 입력값을, y는 출력값. 그리고 sel 은 3비트, y는 8비트로 설정되어 있다.
architecture beha of dec3x8 is
begin
process(sel,clk)
begin
if(clk='1'and clk'event) then //clk가 상승할때만 동작하게 한다.
if sel="000" then y<="10000000";
elsif sel="001" then y<="01000000";
elsif sel="010" then y<="00100000";
elsif sel="011" then y<="00010000";
elsif sel="100" then y<="00001000";
elsif sel="101" then y<="00000100";
elsif sel="110" then y<="00000010";
else
y<="00000001";
end if;
end if;
end process;
end beha;
<파형>
☞ 이번에 코드화시킨 것 또한 위에서 작성한 Decoder와 동일한 것이지만, 이번에는 clock을 같이 입력해서 clock의 값이 상승하는 특정한 시점에서만 동작하도록 하는 if(clk='1'and clk'event) 조건을 삽입하였다. 그렇게 하여 결과 그래프를 살펴보면 위의 첫 번째 그래프와 같이 두 개의 sel 입력 당 하나의 y값이 출력됨을 확인할 수가 있었고, 6.3㎱ 만큼의 Delay가 생겼음을 알 수 있었다.
③ y를 ungrouping 해서 봤을 때..
④ y를 10진수로 봤을 때..
☞ ③ 그래프는 출력 y를 8개 각각의 출력으로 나눠서 그래프로 표현한 것이고, ④ 그래프는 2진수의 출력 y값을 10진수로 표현한 것이다. 실질적인 값들은 위에서 ②에서 측정한 값과 동일하다.
3. 고찰 및 결론
이번 실험은 3x8 Decoder를 직접 코드화 해보고 Simulation 해서 그 결과 값(그래프를 통해)을 직접 확인해 보는 실험이었다. 그렇게 어렵지 않으면서도 디코더의 동작을 쉽게 이해할 수 있게 해주는 좋은 실험이었던 것 같다. 3개의 입력이 들어갔을 때 8개의 출력 중 하나의 결과 값만 활성화 시켜서 출력하게 하는 논리회로임을 확인할 수 있었고, 소스 코드를 작성 과정(동작적 모델링)을 통해 Decoder의 동작 과정을 쉽게 이해할 수 있었다. 그리고 입력에 clock을 같이 추가해줌으로써 y값이 어떻게 출력되는 지도 알아보았다. 이번 실습은 ASIC이란 분야에 흥미를 느낄 수 있게 해주는 나름대로 의미 있는 실험이었던 것 같다.

키워드

  • 가격1,000
  • 페이지수7페이지
  • 등록일2005.10.12
  • 저작시기2005.10
  • 파일형식한글(hwp)
  • 자료번호#315660
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니