[Digital Logic Design Project] Full adder
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[Digital Logic Design Project] Full adder에 대한 보고서 자료입니다.

목차

Project #1 Gray Code Converter

1. 개요

2. 이론

3. 설계

4. 결과분석

5. 토의

본문내용

1. 개요
3개의 입력과 2개의 출력을 가지는 full adder를 설계하고, 이를 확장시켜 4-bit full adder를 설계한다.

2. 이론

- Full adder?
컴퓨터 내에서 2진 숫자(bit)를 덧셈하기 위한 논리 회로. 3개의 입력과 2개의 출력을 생성한다. 덧셈해야 할 2개의 비트와 다른 숫자 위치에서 보내온 carry를 받아 sum과 또 다른 carry를 생성한다.

- 4-bit full adder?
4개의 bit를 갖는 숫자를 덧셈하기 위한 논리 회로. Full adder를 확장시켜서 만들 수 있다.

3. 설계
1) 3개의 입력(a, b, cin)과 2개의 출력(s, cout)을 가지는 full adder를 설계하라.
A. Full adder의 truth table, karnough map을 작성한다.

- Truth table
C. VHDL을 이용하여 full adder을 설계한다.
ENTITY adder IS
PORT ( a, b, c : IN BIT ;
s, cout : OUT BIT );
END adder;

ARCHITECTURE Behave OF adder IS
BEGIN
s <= (NOT a AND((NOT b AND c)OR(b AND NOT c)))OR(a AND NOT(((NOT b AND c)OR(b AND NOT c))));
cout <= (a AND b)OR(b AND c)OR(a AND c);

END Behave;
  • 가격800
  • 페이지수4페이지
  • 등록일2010.01.24
  • 저작시기2009.9
  • 파일형식기타(docx)
  • 자료번호#577428
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니