• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

취업자료 6건

회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
및 근거를, 본인의 노력과 경험을 바탕으로 제시해 주시기 바랍니다.[200자 이상 1000자 이내] 3. 입사 후 현대모비스의 발전을 위해 본인이 어떠한 노력을 할 것인지를 중장기적인 관점에서 기재해 주시기 바랍니다.[200자 이상 700자 이내]
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
논리적이고 체계적으로 분석하고 해결하는 데 능숙합니다. 이러한 능력은 대학 시절부터 다양한 프로젝트와 인턴십을 통해 검증되었습니다. 특히, 반도체 설계 및 공정 최적화와 관련된 프로젝트에서 저는 기술적 도전과제를 극복하고 혁신
  • 가격 4,000원
  • 등록일 2023.12.05
  • 파일종류 워드(doc)
  • 직종구분 전문직
논리적이어야 한다. 8) 서술한 내용을 실천 할 수 있다는 열정을 드러내라. 실천 가능한 내용을 설득력 있게 기록하여 젊은이다운 열정이 드러나도록 한다. 사실 그대로 본인의 진솔한 면을 보여줘라. 진솔함보다 더 강한 무기는 없다. 9) 맞춤
  • 가격 2,400원
  • 등록일 2007.10.19
  • 파일종류 한글(hwp)
  • 직종구분 기타
이전 1 다음
top