• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

취업자료 68건

논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
실험 진행 19/07~19/08 교외 포항공과대학교 인턴십 OOO 교수님의 OOO lab에서 인턴십 19/01~19/02 교내 이화여자대학교 인턴십 OOO 교수님의 Ewha Brain Institute에서 인턴십 19/06~19/10 교외 서울대학교 전국 대학생 생물학 심포지엄 노화 뇌에서 발생하는 세
  • 가격 2,300원
  • 등록일 2021.02.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에 입사하
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적인
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로 종합설계 발표_대본.hwp…………………………………………………1p ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒ 종합설계 최종보고.hwp………………………………
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계하는데 필요한 역량을 갖출 수 있었다고 자부합니다. D. 그 일의 결과는 어떠하였고, 이 경험에서 어떤 교훈을 얻었습니까? (100자 내외) 저는 이를 통해 열정은 무엇이든
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
이전 1 2 3 4 5 6 7 다음
top