|
들면 7447의 출력이 b,c만 0V(LOW)가 나오면서 segment의 b와 c에만 불이 들어오게 되고, 숫자 1이 출력 되는 것을 알 수 있다. 실험 8. Encoder와 Decoder
1. 목적
2. 이론적 배경
3. 사용 장비 및 부품
4. 실험 방법
5. 예비 보고 사항
6. 결과 보고서
|
- 페이지 11페이지
- 가격 2,000원
- 등록일 2015.10.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Reference
1. http://princess.kongju.ac.kr/DigitalMain/framekor.htm
2. 디지털논리와 컴퓨터설계, 황희융, 1986
3. 디지털전자회로, 탑출판사, 1982
4. 디지털논리설계기초, 에드텍, 1994 ○ 디코더(Decoder)
○ 7세그먼트
○ 인코더(Encoder)
○ 다중화기
○ Reference
|
- 페이지 6페이지
- 가격 1,000원
- 등록일 2011.05.02
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
, 1996.2
4. 디지털전자회로, 김기남 저, 네트웍텔레콤 정보기술원, 1998.2
5. 집적회로, 이영훈 저, 상학당, 2002.9
6. 전자회로, 최성재외 공저, 상학당, 2000.1 1. 실험목적
2. 실험이론
■ 디코더(Decoder)
■ 인코더(Encoder)
3. 실험내용
4. 참고자료
|
- 페이지 7페이지
- 가격 2,500원
- 등록일 2004.07.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
존재하는 1의 개수는 짝수, 0이면 데이터 선에 존재하는 1의 개수가 홀수이다. 1. 디코더(decoder)
2. 인코더(encoder)
3. 우선순위 인코더
4. 멀티플렉서
5. 가산기(adder)
1) 반가산기(half adder)
2) 전가산기(full adder)
6. 패리티 발생기
|
- 페이지 7페이지
- 가격 3,000원
- 등록일 2009.06.03
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디코더(복호기)
A(1)
A(0)
Y(3)
Y(2)
Y(1)
Y(0)
0
0
0
0
0
1
0
1
0
0
1
0
1
0
0
1
0
0
1
1
1
0
0
0
< 동작 확인 >
2. 4x2 인코더(부호기)
entity encoder4x2 is
port( X : in std_logic_vector(3 downto 0);
( Y : out std_logic_vector(1 downto 0));
end encoder4x2;
architecture Behavioral of encoder4x2 is
beg
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로 실험
실험 8. 인코더와 디코더 회로 예비보고서 Ⅰ. 실험목적
Ⅱ. 이론
Ⅲ. 실험 준비물
Ⅳ. 예비과제
Ⅴ. 실험 예상
|
- 페이지 8페이지
- 가격 6,300원
- 등록일 2015.11.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
인코더 회로
(5) 회로를 결선하고 입력 A, B에 따른 출력 D와 D를 입력으로 하는 출력 A, B를 측정하라.
A
B
D0
D1
D2
D3
A
B
0
0
0
1
1
0
1
1
그림 7-9 디코더와 인코더 결합회로
(6) 회로를 결선하고 clock pulse에 의한 출력 7-Segment a ~ g까지를 표시하여 완성하
|
- 페이지 7페이지
- 가격 2,000원
- 등록일 2007.01.11
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
디코더(decoder)라 한다. 디코더는 보편적으로 사용되는 집적회로이다. 디코더는 입력변수들에 인가된 코드를 변환하여 출력코드를 제공하는 조합논리회로이다.
인코더(encoder)는 디코더와 반대되는 기능을 수행한다. 디코더는 n개의 입력에 대
|
- 페이지 10페이지
- 가격 4,200원
- 등록일 2013.11.17
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1. 목적
가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다. 나. 멀티플렉서와 디멀티플렉서의 구조와 동작 원리를 이해하고 이들 회로에 대한 응용 예를 배운다.
2. 이론
가. 디코더
‘복호기’라고도 부르는 디코더는 최대 2ⁿ개의
|
- 페이지 8페이지
- 가격 1,500원
- 등록일 2021.01.07
- 파일종류 아크로벳(pdf)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
했다.
실험 결과는 이론적인 결과와 같아서 매우 만족스러웠다. 입력을 바꿔줄 때마다 하나하나 출력이 바뀌는 현상을 눈으로 보면서 신기했다. 하지만 이번실험을 통해서 실험전 예비보고서 작성의 중요성과 이론숙지가 정말 중요하다는
|
- 페이지 3페이지
- 가격 2,300원
- 등록일 2014.03.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|