• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 4건

경우 효소에 의해 다시 잘려, agarose gel로 전기영동 하였을 때 vector와 insert, 이렇게 2 band로 나오는 것을 이용한 것이다. DNA 10㎕ 10×H buffer 2㎕ NdeⅠ 1㎕ XhoⅠ 1㎕ DDW 6㎕ total 20㎕ 다시 PCR로 확인해 보았다. premix에 다음과 같이 넣고 PCR을 했다. DNA
  • 페이지 5페이지
  • 가격 3,000원
  • 발행일 2009.10.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
생물학에 대한 연구의 결과가 바탕이 되었다. 이 기술을 이용한 유전공학은 특정한 유전자를 분자유전학적인 방법으로 분리 또는 합성하여 유전자를 재조합하거나 재조합된 새로운 유전자를 세균 등에 도입하여 특정한 생물활성물질을 다
  • 페이지 48페이지
  • 가격 3,000원
  • 발행일 2010.02.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
유전자의 다양성 2) 종의 다양성 3) 생태계의 다양성 3 생물다양성의 규모와 현황 4 생물다양성의 가치 1) 생물자원으로써의 경제적 가치 2) 생태학적 가치 3) 문화적 가치 5. 생물다양성의 가치평가 6. 생물다양성의 위
  • 페이지 26페이지
  • 가격 1,000원
  • 발행일 2007.11.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
생물학 6판//p746-748 pGEM-T easy vector는 multiple cloning site에 LacZ gene이 존재한다. E. coli의 원래 genome에는 lac operon이 있다. operon의 operator에 repressor가 붙어 있어서 operator 앞부분의 promoter에 transcription factor가 작용하지 못하게 된다. 하지만 배지에 IPTG
  • 페이지 10페이지
  • 가격 2,000원
  • 발행일 2015.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 다음
top