• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,975건

and Administration) 4. 네트워크 설계 및 관리(Network Design & Administration) 5. 데이터베이스 개발 및 관리(Database Development and Adminstration) 6. 프로그래밍 및 SW 엔지니어링(Programming/SW Engineering) 7. 기술 지원(Technical Support) 8. 디지털 미디어 제작자(Digital
  • 페이지 12페이지
  • 가격 6,500원
  • 등록일 2013.07.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계에 관한 연구 7.정보능력 개발을 위한 컴퓨터.정보이용 교육과정 : 교과교육을 중심으로 8.대학도서관의 네트워크시스템 구축 현황 및 이용에 관한 연구 1. 문헌정보학과 연구의 정의 1.1 문헌정보학의 정의 1.2 연구의 정의 2. 문헌
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2003.02.09
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 작업흐름 Analysis and design workflow 4. 구현 작업흐름 Implementation workflow 5. 시험 작업흐름 Test workflow 6. 배치 작업흐름 Deployment workflow 3 개의 핵심 지원 작업흐름은 다음과 같다. 1. 프로젝트 관리 작업흐름 Project management workflow 2. 구성 및 변경
  • 페이지 20페이지
  • 가격 3,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Reference 1. http://princess.kongju.ac.kr/DigitalMain/framekor.htm 2. 디지털논리와 컴퓨터설계, 황희융, 1986 3. 디지털전자회로, 탑출판사, 1982 4. 디지털논리설계기초, 에드텍, 1994 ○ 디코더(Decoder) ○ 7세그먼트 ○ 인코더(Encoder) ○ 다중화기 ○ Reference
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Logic으로 전달돼 특정 Instruction을 수행하게 된고 그 결과가 Output으로 출력된다. 1. Common Bus System 2. AC register 3. AR register 4. DR register 5. IR register 6. PC register 7. SC register 8. TR register 10. INPR register 11. OUTR register 12. I E FlipFlop 13. S R IEN registe
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2008.06.30
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
x, y and Z with input [2:0] x. Compile module circuit(X, F); input[2:0] X; output F; wire[0:4] T: nand g0(T[0],X[0],X[1]), g1(T[1],X[0],T[0]), g2(T[2],X[1],T[0]), g3(T[3],X[2],T[1],T[2]), g4(T[4],X[2],T[2]), g5(F,T[3],T[4]); endmodule 4단원 연습문제입니다. 2번 9번 16번 23번 30번 37번 44번 51번
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
td_logic; Q : out std_logic ); end component; signal D_in, c, Q_out : std_logic_vector (3 downto 0) begin C(0) <= EN; C(1) <= C(0) and Q_out(0); C(2) <= C(1) and Q_out(1); C(3) <= C(2) and Q_out(2); CO <= C(3) and Q_out(3); D_in(0) <= C(0) xor Q_out(0); D_in(1) <= C(1) xor Q_out
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
컴퓨터교육연구회(2003) : 컴퓨터 교육학, 삼양미디어 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치)의 연산장치 Ⅲ. 레지스터의 개념 Ⅳ. 레지스터의 분류 1. 사용자가 쓸 수 있는 레지스터(User-Visible Registers) 2. 제어 및 상태 레지스터(Control and Status
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.07.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
logic is port ( a, b, c, d : in std_logic; y : out std_logic ); end logic; architecture sample of logic is begin y <= \'0\' when d=\'0\' else \'0\' when c=\'1\' else \'0\' when ( a=\'1\' ) and ( b=\'1\' ) else \'1\'; end sample; ② 선택적 병행 처리문 :: with이하의 수식값에 의해 판단
  • 페이지 15페이지
  • 가격 1,500원
  • 등록일 2003.10.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
DigitalMain/dvlec/textbook/chap07/digital07_2.htm http://archi.chungbuk.ac.kr/multimedia/lecture03/ http://www.happycampus.com/pages/2002/12/06/D1153949.html http://www.happycampus.com/pages/2001/04/13/D1023367.html http://www.pyc.pe.kr/computersystem/chapt-16.html http://www.daejin.or.kr/home/skson
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2006.05.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top