비동기식 / 동기식 카운터
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

1. 실험목적

2. 관련이론
1) 동기식 순차회로와 비동기식 순차회로
2) 동기식 modulo-N 카운터
3) BCD 카운터

3. 사용부품 및 계기

본문내용

있다.
그림 9-3. 3비트 이진 카운터 상태천이도
이제 카운터 회로를 직접 설계해보자. 예를 들어 클럭펄스가 인가될 때마다 0부터 5까지 차례로 세는 modulo-6 카운터를 설계한다고 가정하자. 카운터를 설계하는 과정은 앞장에서 학습했던 일반적인 순차회로 설계절차와 크게 다를 바 없다. 문제는 이미 주어졌으므로 먼저 입력, 출력 및 상태변수를 정하자. 설계하고자 하는 카운터 회로의 경우, 단지 클럭만 입력되면 0부터 5까지 차례로 세는 카운터임으로 별도의 입력변수는 필요 없다. 회로가 기억해야 하는 상태는 0부터 5까지 총 6개임으로 최소 3개의 상태변수가 필요하다. 3개의 상태변수를 S2, S1, S0으로 표현하기로 하고, 플립플롭은 JK 타입을 사용하기로 하자. 카운터 회로의 출력변수는 상태변수 S2S1S0의 값이 그대로 출력되면 되기 때문에 별도로 정할 필요 없다. 이제 상태천이도를 그려보자. 그림 9-4(a)에 설계하려는 modulo-6 카운터에 대한 상태천이도를 나타내었다. 원래 상태천이도의 화살표 상에는 “입력값/출력값”을 기록하도록 되어 있지만 이 카운터 회로의 경우 입력이 별도로 없으며 출력 또한 상태값과 동일하기 때문에 화살표상에 아무것도 쓰지 않았다.
이제 여기표를 작성해야 한다. 여기표는 그림 9-4(b)에 나타내었다. 여기표에서 현재상태가 110, 111인 경우에 대한 다음상태 칸과 플립플롭 입력 칸은 모두 무정의 조건 x로 처리하였다. 그 이유는 상태천이도를 보면 알 수 있듯이 상태값이 000, 001, 010, 011, 101 값만을 차례로 순환하도록 되어 있으며 따라서 회로가 정상적으로 동작한다면 상태값이 110이나 111이 되는 경우는 없을 것이기 때문이다.
여기표로부터 플립플롭 입력에 대한 논리식을 구하는 과정은 그림 9-4(c)에 나타내었으며, 이 논리식을 이용해 설계한 회로도는 그림 9-4(d)에 나타내었다.
현재상태
다음상태
플립플롭 입력
S2
S1
S0
S2
S1
S0
J2
K2
J1
K1
J0
K0
0
0
0
0
0
1
0
x
0
x
1
x
0
0
1
0
1
0
0
x
1
x
x
1
0
1
0
0
1
1
0
x
x
0
1
x
0
1
1
1
0
0
1
x
x
1
x
1
1
0
0
1
0
1
x
0
0
x
1
x
1
0
1
0
0
0
x
1
0
x
x
1
1
1
0
x
x
x
x
x
x
x
x
x
1
1
1
x
x
x
x
x
x
x
x
x
(a) 상태천이도 (b) 여기표
(c) 논리식
(d) 회로도
그림 9-4. modulo-6 카운터
다음에는 3비트 이진 증감형 카운터를 설계해 보자. 증감형 카운터의 경우에는 카운터 상태값이 증가하는 방향으로 변해야 하는지 또는 감소하는 방향으로 변해야 하는지를 결정해 주는 입력선이 하나 필요하다. 따라서 입력변수를 I라 하고, I=0일 경우 감소형 카운터로 동작하고 I=1일 경우 증가형 카운터로 동작하도록 회로를 설계하자. 상태변수는 S2, S1, S0이라 하고, 사용할 플립플롭의 종류로는 T 플립플롭을 선택한다면 결과는 그림 9-5와 같다.
그림 9-5. 3비트 이진 증감형 카운터
3) BCD 카운터
BCD 카운터는 10개의 BCD 코드값(0000, 0001, 0010, ... , 1000, 1001)을 상태값으로 가지는 카운터를 말하며, 십진 카운터(decade counter)라고도 한다. 그림 9-6에 BCD 카운터에 대한 상태천이도, 여기표, 논리식 및 회로도 등을 나타내었다.
(a) 상태천이도
(b) 여기표
(c) 논리식
(d) 회로도
그림 9-6. BCD 카운터
3. 사용부품 및 계기
오실로스코프
Function generator
74LS112(2개)
74LS00(1개)
  • 가격1,000
  • 페이지수8페이지
  • 등록일2005.09.14
  • 저작시기2005.09
  • 파일형식한글(hwp)
  • 자료번호#312678
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니