Karnaugh map(사전)
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

1. 실험 목표

2. 실험 준비물

3. 예비 이론

4. 실험 방법 및 시뮬레이션

5. 고찰

본문내용

code converter
교재에 나온 진리표를 참고하여 K-map을 그리면 다음과 같다.
따라서 출력은 다음과 같다.
[열심문제 3.2] BCD to 2421 code converter
진리표로부터 4개의 K-map을 만들면 다음과 같다.
정리하면...
[열심문제 3.3] 4비트 바이너리 to BCD converter
진리표를 바탕으로 총 5개의 K-map을 작성하면 다음과 같다.
정리하면...
이다.
[열심문제 3.4] 4비트 Gray 코드 to BCD converter를 설계하시오.
Gray CODE
BCD CODE
Gray CODE
BCD CODE
A4
A3
A2
A1
B4
B3
B2
B1
B0
A4
A3
A2
A1
B4
B3
B2
B1
B0
0
0
0
0
0
0
0
0
0
0
8
1
1
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
0
1
9
1
1
0
1
0
1
0
0
1
2
0
0
1
1
0
0
0
1
0
10
1
1
1
1
1
0
0
0
0
3
0
0
1
0
0
0
0
1
1
11
1
1
1
0
1
0
0
0
1
4
0
1
1
0
0
0
1
0
0
12
1
0
1
0
1
0
0
1
0
5
0
1
1
1
0
0
1
0
1
13
1
0
1
1
1
0
0
1
1
6
0
1
0
1
0
0
1
1
0
14
1
0
0
1
1
0
1
0
0
7
0
1
0
0
0
0
1
1
1
15
1
0
0
0
1
0
1
0
1
우선 진리표를 작성하면 다음과 같다.
그리고, 진리표를 바탕으로 해서 K-map을 작성하면 다음과 같다.
위의 K-map을 바탕으로 해서 식을 만들면 다음과 같다.
4. 실험 방법 및 시뮬레이션
[예비 실험 1] BCD to Excess-3 converter
3.7장의 BCD to Excess-3 converter 회로를 VHDL로 구성하여 출력하시오. 클록의 주기는 40ns로 하시오.
각 출력을 부울대수로 나타내면 다음과 같다.
아래와 같이 VHDL과 Simulation을 실행하였다.
5. 고찰
부울대수를 간략화하는데 진리표를 작성하여 minterm 또는 maxterm 이 두가지 방법을 이용하여 간략화할 수 있다는 것을 알게 되었다. 더욱 간편한 방법으로는 K-map을 작성하고 Grouping 하여 부울식을 이끌어내는 방법도 알게 되었다. 아무리 복잡한 진리표라 하더라도 K-map을 사용하여 부울대수를 간략화 한다면 비용절감은 물론 보기에도 간편한 회로를 구성할 수 있을 것이다.

키워드

  • 가격1,000
  • 페이지수6페이지
  • 등록일2011.12.13
  • 저작시기2011.1
  • 파일형식한글(hwp)
  • 자료번호#720144
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니