Traffic Light Controller
본 자료는 5페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
해당 자료는 5페이지 까지만 미리보기를 제공합니다.
5페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

1. 프로젝트 개요

2. Source Code 분석 및 설계

3. 프로젝트 고찰

본문내용

보이게 된다.
▶ LED
횡단보드 신호등의 남은 시간을 LED 8개를 통해 차례대로 켜졌다가 꺼졌다하며
segment와 같은 남은 시간을 count하는 역할을 수행
: LED값은 신호등의 7세그먼트의 다운 카운팅하는 시간을 고려하여 코딩 해야 하므로, 7세그먼트가 작동하는 TIME_divider와 같은 0~15까지의 integer 값으로 선언 해 준다. CNT가 0~7까지는 LED의 불이 하나씩 더 켜지고, 8~15까지는 앞에서부터 하나씩 꺼지는 모습이 될 것을 알 수 있다.
▶ motor
응급차가 신호등에 진입하였을때 모터가 작동되며 비상 신호를 안내
: 응급차가 신호등에 진입하였을때,
process를 설정할때 if문, case문, when문을 사용하여 모터의 기본 동작을 설정
▶ dot
LCD(dot matrix)에 현재 신호를 받고 있는 신호등의 방향을 표시
응급차가 신호등에 진입하였을때 비상신호를 표시
: CNT의 값이 9가 되기 전까지 CNT는 0~9까지 카운터를 하게 된다. iClk값의 상승에지를 500번 받아서 top_traffic의 system_clk의 한번의 상승 에지를 만들기 때문에 CNT 값은 엄청 빠른 속도로 0~9까지 카운터 되는 것을 반복 하고 있는 것이다.
: CNT값은 앞에 언급한 것처럼 엄청 빠른 속도로 0~9까지의 카운터를 반복한다. DOT_COM의 각 비트는 각 DOT LCD의 enable 포트에 연결 된다.(active low 이므로 0일 때 동작 한다.)따라서 0값이 들어간 비트는 DOT 라인은 표시되고, 나머지는 표시 되지 않는다. 하지만 0~9까지의 카운터 하는 속도가 굉장히 빠르므로 DOT LCD는 10개가 동시에 켜진 것처럼 보이게 된다.
2.3 Simulation 결과 및 해석
▶ 신호등의 동작 상태 확인
전체적으로 신호등의 점등 상태를 확인 할 수 있다.
신호등의 방향 : 북쪽->동쪽->남쪽->서쪽 방향 확인
차량 신호가 직진, 좌회전이 되었을 때의 보행자 신호가 초록불이 되고
7초 이하부터 깜빡 깜빡 거리는 것을 확인 할 수 있다.
▶ 신호등의 변경 체계
초기에 반전 스위치 입력에 1clock을 주었기 때문에,
시작부터 신호 체계가 북쪽->서쪽->남쪽->동쪽으로 변하는 것을 확인.
▶ 신호등의 변경 체계(Reset 버튼 입력시)
값이 1이 되자 모든값이 초기 값으로 리셋
▶ LED 동작 확인
파형을 보면 LED는 마치 오른쪽으로 쉬프트 되는 것과 같은 동작을 확인
(LED1 ON →LED2 ON →LED3 ON →LED4 ON→ LED5 ON →LED6 ON→ LED7 ON
→LED8 ON →LED8 OFF →LED7 OFF→ LED6 OFF →LED5 OFF → LED4 OFF
→LED3 OFF →LED2 OFF →LED1 OFF)
▶ LCD(Dot Matrix) 동작 확인
파형을 보면 살펴보면 화살표를 확인 할 수 있다.
위에 enable 값을 통해 LCD(Dot Matrix)가 작동시기를 확인 할 수 있다.
North
East
West
South
Emergency Car
▶ 비상 신호(응급차) 발생 신호등 동작
파형을 통해 살펴보면 비상 신호가 입력되면,
신호등의 모든 신호는 주황색으로 점멸 작동 되는 것을 확인 할 수 있다.
▶ 시뮬레이션 결과 고찰
Simulation을 통한 파형을 측정한 결과, 최상단에 메인클럭이 일정하게 발생하고 있고, Road의 신호등과 Sideway의 신호등이 클럭이 흘러감에 따라서 순차적으로 동작하는 것을 확인할 수 있었다. 7-segment의 경우 Com 단자가 공통으로 물려져 있기 때문인지 시뮬레이션을 통한 확인이 어려웠으나, 클럭에 따른 일정한 변화와 HBE-COMBO에서의 동작으로 확인할 수 있을 것이다.
3. 프로젝트 고찰
3.1 프로젝트 진행, 결과에 대한 토의 및 고찰
▶ 'Traffic Light Controller' 프로젝트의 목적은 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 사용되는 신호등의 컨트롤러를 구현해보고, 그 과정을 통해 VHDL 언어를 이해하고 더불어 디지털 논리 회로 설계에 대해 알아보는 것이다.
처음 프로젝트를 시작할 때 HBE - COMBO 장비와 VHDL이라는 언어 사용이 처음이라서 많이 힘들고 어려웠다. 특히 장비 부족으로 실험실에서 직접 장비를 돌려가며 코드를 분석하고 확인 하지 못해 실험과정이 순조롭지 못했다. 시뮬레이션을 통해 장비 하나하나를 체크하고 확인하여 시간도 오래 걸리고 한눈에 파악이 잘 되지 않아서 분석하는데도 오래 걸렸다.
주어진 참고자료의 강의노트와 예제, 그리고 소스 코드를 통하여 신호등 제어장치의 동작방식을 이해할 수 있었고, 또한 7-segment를 이용한 남은시간 카운트와 버튼을 이용하여 입력을 받는 추가기능도 수행할 수 있었다. 프로젝트 과정을 통해 수업시간에 이론으로 배운 VHDL 코드 작성과 순차회로의 상태를 이해하는데 도움이 되었다.
'Traffic Light Controller' 프로젝트를 수행하면서 실제 생활에서 사용되는 신호등을 구현에 가장 큰 목표를 두었고, 이후에 응급차와 같은 비상신호를 입력받아 구현하는 추가 목표를 수행하였다. 또 신호등의 구현후에는 segment와 LED 통한 카운트에 추가적으로 설계하였다. segment에서는 COM 단자를 통하여 8개의 segment를 순차적으로 표현함으로써 잔상효과를 이용하여 표현한다. 그리고 DotMatrix를 통하여 현재 작동하고 있는 신호등의 방향도 표시하면서 HBE-COMBO 장비에 대하여 좀 더 많은 이해를 할 수 있었던 것 같다.
'Traffic Light Controller' 프로젝트를 진행하면서 많은 시간과 노력이 소요되었지만, 이론상에서나 배웠던 VHDL 코드 작성을 실제의 장비를 통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다.
3.2 참고자료
▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006.
▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002.
  • 가격5,000
  • 페이지수16페이지
  • 등록일2012.03.11
  • 저작시기2010.12
  • 파일형식한글(hwp)
  • 자료번호#732818
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니