[디지털논리회로] 자판기설계(Vending machine) Verilog code.
본 자료는 8페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
해당 자료는 8페이지 까지만 미리보기를 제공합니다.
8페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[디지털논리회로] 자판기설계(Vending machine) Verilog code.에 대한 보고서 자료입니다.

목차

1.설계목표
2.설계내용
- 동전의 가지수와 상태설명, 진리표구현, 상태도구현
3.베릴로그구현
- module code 구현(모듈코드)
- timing bench 구현(타이밍밴치)
- 각 코드별 주석 설명되어있습니다.
4.타이밍도
- CLK(클럭)값에 의해 값이 바뀌고 타이밍밴치에의해 값이 설정되어 변하는값 확인가능

본문내용

---------모듈부분 간략설명--------------
module vending(Clk, reset, choice, In, Change, exceed, Out);
//Input setting
input Clk;
input reset; // S0상태로 설정 (S0=0원)
input choice; // 선택 (1=음료선택,0=비활성화)
input [1:0]In; // IN을 2bit로 입력받음 (00=0원, 01=500원, 10=1000원 입력)
//output setting
//state -> register 로 지정
output reg Change; // 거스름돈 (1=잔돈반환, 0원으로 상태초기화)
output reg exceed; // 한도 상태를 2000원으로 설정하여 (초과시 1로 설정됨)
output reg [1:0]Out; // 출력물건 (00=출력안함, 01=생수(500원), 10=에너지드링크(1000원), 11=생과일쥬스(1500원)
//state 설정
reg [4:0] state; //현재상태
reg [4:0] next_state; //입력받은 다음상태
---------------타이밍밴치간략설명----------
module Simulation_Vending_Machine;
reg Clk, reset, choice; //클락, 반환버튼, 음료선택 활성화
reg [1:0]In; //동전입력 00:0원 , 01:500원, 10:1000원
wire Change, exceed;
wire [1:0]Out;
// Instantiate the Unit Under Test (UUT)
DYB_vending uut (
.Clk(Clk),
.reset(reset),
.choice(choice),
.In(In),
.Change(Change),
.exceed(exceed),
.Out(Out)
);
  • 가격3,000
  • 페이지수25페이지
  • 등록일2014.06.21
  • 저작시기2014.5
  • 파일형식기타(pptx)
  • 자료번호#925239
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니