본문내용
phase_rout(3);
end behave;
MOT2_ROT Test-bench
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity tb_mot2_rot is
end tb_mot2_rot;
architecture tb_behave of tb_mot2_rot is
signal CLK_4M : std_logic:='0';
signal RSTB : std_logic:='0';
signal MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : std_logic;
signal MTR_A, MTR_B, MTR_nA, MTR_nB : std_logic;
signal MTL_A, MTL_B, MTL_nA, MTL_nB : std_logic;
component mot2_rot
port(
CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : in std_logic;
MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA,
MTL_nB : out std_logic
);
end component;
begin
u0 : mot2_rot
port map(CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4, MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA, MTL_nB);
CLK_4M <= not CLK_4M after 500 ns;
RSTB <= '1' after 1000 ns;
MTP_SW1 <= '0', '1' after 20000 ns;
MTP_SW2 <= '0', '1' after 20000 ns;
MTP_SW3 <= '0', '1' after 20000 ns;
MTP_SW4 <= '0', '1' after 20000 ns;
end tb_behave;
파형
《MOT2_ROT》
- 스위치 선택("00" ~ "11")으로 각 분주로 속도 조절.
- 스위치 입력 값을 계속 바꿔서 파형이 나오기 전에 입력이 바뀌어 파형이 전혀 나오지 않음.
- 파형 확인 때 너무 작은 시간 값(ns)를 입력해 제대로 된 파형이 나오지 않아 코딩의 오류로 착각.
- 스위치 입력 "00","11" 값만을 넣고 최대 속도 파형 확인
end behave;
MOT2_ROT Test-bench
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity tb_mot2_rot is
end tb_mot2_rot;
architecture tb_behave of tb_mot2_rot is
signal CLK_4M : std_logic:='0';
signal RSTB : std_logic:='0';
signal MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : std_logic;
signal MTR_A, MTR_B, MTR_nA, MTR_nB : std_logic;
signal MTL_A, MTL_B, MTL_nA, MTL_nB : std_logic;
component mot2_rot
port(
CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : in std_logic;
MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA,
MTL_nB : out std_logic
);
end component;
begin
u0 : mot2_rot
port map(CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4, MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA, MTL_nB);
CLK_4M <= not CLK_4M after 500 ns;
RSTB <= '1' after 1000 ns;
MTP_SW1 <= '0', '1' after 20000 ns;
MTP_SW2 <= '0', '1' after 20000 ns;
MTP_SW3 <= '0', '1' after 20000 ns;
MTP_SW4 <= '0', '1' after 20000 ns;
end tb_behave;
파형
《MOT2_ROT》
- 스위치 선택("00" ~ "11")으로 각 분주로 속도 조절.
- 스위치 입력 값을 계속 바꿔서 파형이 나오기 전에 입력이 바뀌어 파형이 전혀 나오지 않음.
- 파형 확인 때 너무 작은 시간 값(ns)를 입력해 제대로 된 파형이 나오지 않아 코딩의 오류로 착각.
- 스위치 입력 "00","11" 값만을 넣고 최대 속도 파형 확인
추천자료
인간공학적 의자설계
창의적 공학 설계 최종보고서 발명품 : 오뚝이 칫솔
창의적 공학 설계
교육공학 수업설계
창의적 공학 설계 [종이다리만들기]
디지털 공학 실험[순차회로(검출기)설계]
창의적 공학 설계 - 리모트 컨트롤 최종발표 PPT파일
자판기(디지털공학 설계 최종발표)
[도로공학 설계] 도로의 연성 포장설계
[기계공학] 공학 설계 - 구조용 로봇의 원리와 이론 (A Study on rescue crawler )
기초공학 설계 - 초고층 건물 조사
창의적 공학 설계 아이템제안. ppt
[건축 공학 설계] 건축물 설계 사례조사 - 니콘 프레시젼 코리아 사옥, 소피아 타워 빌딩, 라...
[설계보고서] 04 AD_DA 컨버터 응용 전기회로 설계 (예비레포트) : A/D 변환기와 D/A 변환기...