스텝모터 제어기
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

본문내용

phase_rout(3);
end behave;
MOT2_ROT Test-bench
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity tb_mot2_rot is
end tb_mot2_rot;
architecture tb_behave of tb_mot2_rot is
signal CLK_4M : std_logic:='0';
signal RSTB : std_logic:='0';
signal MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : std_logic;
signal MTR_A, MTR_B, MTR_nA, MTR_nB : std_logic;
signal MTL_A, MTL_B, MTL_nA, MTL_nB : std_logic;
component mot2_rot
port(
CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4 : in std_logic;
MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA,
MTL_nB : out std_logic
);
end component;
begin
u0 : mot2_rot
port map(CLK_4M, RSTB, MTP_SW1, MTP_SW2, MTP_SW3, MTP_SW4, MTR_A, MTR_B, MTR_nA, MTR_nB, MTL_A, MTL_B, MTL_nA, MTL_nB);
CLK_4M <= not CLK_4M after 500 ns;
RSTB <= '1' after 1000 ns;
MTP_SW1 <= '0', '1' after 20000 ns;
MTP_SW2 <= '0', '1' after 20000 ns;
MTP_SW3 <= '0', '1' after 20000 ns;
MTP_SW4 <= '0', '1' after 20000 ns;
end tb_behave;
파형
《MOT2_ROT》
- 스위치 선택("00" ~ "11")으로 각 분주로 속도 조절.
- 스위치 입력 값을 계속 바꿔서 파형이 나오기 전에 입력이 바뀌어 파형이 전혀 나오지 않음.
- 파형 확인 때 너무 작은 시간 값(ns)를 입력해 제대로 된 파형이 나오지 않아 코딩의 오류로 착각.
- 스위치 입력 "00","11" 값만을 넣고 최대 속도 파형 확인

키워드

  • 가격2,300
  • 페이지수6페이지
  • 등록일2012.11.05
  • 저작시기2009.5
  • 파일형식한글(hwp)
  • 자료번호#774345
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니