|
대치, 최소치의 속도 조절을 할 수 있는 프로그램을 작성하여 실험 하였습니다. 한 주 씩 지나면 지날수록 한주의 배운 내용은 그렇게 어렵지 않은데 전 시간에 배웠던 것들과 응용하여 프로그램을 작성 하려고 하니까 기억이 잘 나지 않고,
|
- 페이지 13페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험을 하였고, TIMER를 바꿔 LED의 동작을 여러 형태로 바꾸어 프로그램만 만들어 실험하였습니다. 이 레포트를 쓰고 나서 TMR0와 Pre-scaler가 무엇인지 알게 되었으며, TIMER0의 여러 프로그램을 동작 시켜보면서 변수를 선언 하지 않고 동작 시켰을
|
- 페이지 15페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대로 전원을 공급해주어 작동 할 수 있게 해주는 전원 공급 버스이다.
·클락 bus : CPU와 메인 메모리 간 등 컴퓨터 중추 부분의 타이밍을 담당하는 클록이다.
2. Tri-state의 동작 설명
● 3상 논리회로( Tri-state Logic Ciruits )
A
Y
0
0
0
1
0
1
0
1
Hi-Z
1
1
Hi-
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대상인 Operand, 그리고 “;“ 뒤쪽에 변역을 하지 않는 Comments가 있다.
●명령어의 종류
-명령어의 종류로는 CISC chip과 RISC chip으로 분류된다.
-CISC chip : 종류가 다양하지만 명령어가 복잡하고 하드웨어로 만들어 가격이 비싸다.
-RISC chip : 명령어
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
한 편에 속하는 대부분의 실험에는 무언가 석연치 않은 상태로 조금은 억지스럽게 결과를 도출해 낼 때가 많은데, 이번 실험은 그렇지가 않았다. 파형이나 수치적인 실습이 아니라 동작을 확인하는 실습이어서 그런 부분도 있을 것이다. 하지
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
험이니만큼 조교님들께서 사전에 주의를 주셨으면 실험이 더 수월하게 진행되지 않았을까 하는 생각도 들었다.
Common source 증폭기의 설계실습은 잘 되었다고 생각한다. 설계한 회로대로 브레드 보드에 연결한 결과, 오실로스코프를 통한 출
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
7 downto 0);
end if;
end if;
end process;
end Behavioral;
(2)Booth 곱셈기
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_signed.ALL;
entity booth_multiplier is
--승수, 피승수 및 출력의 길이 저장
generic (m_plicand_width : integer :=8;
m_plier_width : integer :=8;
output_width : intege
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
대로 y를 내부 신호로 설정해주고 1. 가산기 2. 4비트 가산기 3. y 벡터와 m의 xor 연산 하는 단계를 더해 주는 식으로 회로를 구현하였다면 이런 부분에서 실수를 하지 않았을 것이라는 점을 배웠다. 이번 실험은 단순하였기 때문에 실수 하였어
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
험해 보지 못하여 수업 시간에만 간신히 실험해 볼 수 있었던 문제가 있었다. TEST bench를 사용할 수 있음에도 이를 사용하지 않은 것이 잘못이었다.
특히나 막상 KIT에 돌려보니 상태가 휙휙 지나가서 시작하자마자 다시 처음으로 돌아와서 아
|
- 페이지 27페이지
- 가격 2,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1
1
0100
0
0101
0
0110
1
0111
0
1000
0
1001
1
1010
X
1011
X
1100
X
1101
X
1110
X
1111
X
BA
DC
00
01
11
10
00
0
0
1
0
01
0
0
0
1
11
X
X
X
X
10
0
1
X
X
그림 8-6 3으로 나누어 떨어지는 BCD수에 대한 Karnaugh맵
맵으로부터 읽은 최소 SOP : X=AD+ABC+ABC
AD
ABC
ABC
회로도
표 8-5의 실험결과
3 =
|
- 페이지 7페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|