• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 47건

탕으로 두 입력 신호를 논리‘0’으로 리셋 시키는 구조를 사용함으로써 Up과 Down신호가 충분한 시간동안 논리‘1’의 값을 유지할 수 있도록 설계하였고 이에 따라 위상주파수 검출기가 NAND 게이트의 문턱전압에 상관없이 동작하게 함으로써
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 4장. 3절. 1 Verilog encoder <표4-1. Verilog encoder> module hamm_enc(in,out,reset); input [7:0] in; output [11:0] out; input reset; reg [11:0] out; integer i, j; always@(in or reset) begin if(reset) out = 0; else begin i=0; j=0; while((i<11)||(j<7)) begin while(i==0||i==1||i==3||i==
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1.2 전자민주주의의 긍정적 측면과 부정적 측면 2. 전자시민사회 2.1 인터넷 공간에서의 국가와 시민사회 2.2 인터넷의 국가규제 방식 2.3 국가의 인터넷 규제 논리 2.4 윤리사회와 감시사회 Ⅲ 제언 참고문헌
  • 페이지 29페이지
  • 가격 3,400원
  • 발행일 2008.10.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
or.kr Ⅰ 서론 1. 연구의 목적 2. 연구의 방법 Ⅱ 호텔웨딩연회의 이론적 배경 1. 호텔웨딩의 개황 2. 호텔연회의 개황 2.1 연회의 개념 2.2 연회의 특성과 파급효과 Ⅲ 호텔웨딩연회의 마케팅 활성화 방안 1. 내부경쟁 요
  • 페이지 17페이지
  • 가격 2,900원
  • 발행일 2008.11.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
or.kr/kor http://unesco.or.kr/whc http://www.cha.go.kr http://www.iyangdong.kr/ http://www.kcti.re.kr/ http://www.korea.kr/ http://www.tour.go.kr/ http://yangdong.invil.org/ Ⅰ. 서 론 Ⅱ. 연구의 이론적 배경 Ⅲ. 경주 양동마을의 현황 Ⅳ. 문제점 및 활성화 방안 Ⅴ.
  • 페이지 29페이지
  • 가격 5,500원
  • 발행일 2012.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
or.kr/ 통계청, http://www.kostat.go.kr/nso2009/intro/smain1.html 한국여성의전화, http://www.hotline.or.kr/ Ⅰ. 서론 1. 연구의 필요성 및 목적 2. 연구의 방법 및 범위 Ⅱ. 이
  • 페이지 22페이지
  • 가격 4,000원
  • 발행일 2010.11.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실태와 여건 1. 북한경제의 수용능력 2. 남한의 대북 경제협력 추진여건 Ⅲ. 남북경제협력의 방향과 해결과제 1. 경제협력 분위기의 유지 2. 경제논리의 적용공간 확대 3. 제도화ㆍ공식화의 심화 Ⅳ. 결 론 <참고문헌>
  • 페이지 26페이지
  • 가격 4,000원
  • 발행일 2005.06.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
or.kr 신문과 방송 기사 http://www.mediagaon.or.kr/jsp/mdata/montly_view_ other.jsp?seq=100991 오뉴스 http://ohnonews.net/sisadori/broadbusiness.htm 이데일리 http://www.edaily.co.kr 2. 외국문헌 Bodie & Kane & Marcus, Essentials of Investment (6th edt), McGraw Hill, 2008 De Avillez Pereira& Miguel ,
  • 페이지 50페이지
  • 가격 3,000원
  • 발행일 2010.01.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 제약을 줄일 수 있다. 참고문헌 1. Bonnie C. Baker, ‘센서리스 BLDC 모터 컨트롤의 장점’, 마이크로칩 테크놀로지 2. 김정욱 외 4명, ‘The BLDC Motor Control for Platform Screen Door' 3. 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)',
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
or Governments: Choosing between Imperfect Alternativ es”, 2nd Edition, The MIT Press. Ⅰ. 서론 1. 연구의 목적 2. 연구의 범위와 방법 Ⅱ. 공기업에 관한 이론적 고찰 1. 공기업의 개념과 형성 이론 1) 공기업의 개념 2) 공기업 형성 이론 2.
  • 페이지 41페이지
  • 가격 10,000원
  • 발행일 2016.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 3 4 5 다음
top