• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 19,362건

로직웍스 Shift Resister 계산기 디지털공학 상태그래프 상태표 BCD to binary 한글파일 설명 설계회로 계산기.cct 3.12MB 1개의 Hex Keybord로 입력값을 쉬프트레지스트에 따로따로 저장하는 방법.hwp……………2p BCD to Binary설명.docx…………
  • 페이지 32페이지
  • 가격 5,000원
  • 등록일 2013.12.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
로직을 이용한 PI 제어시에는 속도의 변화에 상관없이 우수한 응답 특성이 나타나고 있음을 알 수 있다. Ⅶ. 퍼지의 설계방법 퍼지제어기든 일반제어기든 제어기라면 제어대상의 선정, 모델링, 해석, 설계, 검증의 다섯 가지 큰 흐름은 일치한
  • 페이지 13페이지
  • 가격 6,500원
  • 등록일 2013.08.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
팔 수도 있다. 그 장소에 꼭 필요한 물품을 넣어 판매를 한다면 이익 창출에 더 큰 도움이 될 것이다. 일정표 역할 분담 개발 배경 및 필요성 개발 내용 및 방법 상태표 및 상태도 카노맵 회로도(로직웍스로 구현) VHDL 구현 Q & A
  • 페이지 22페이지
  • 가격 8,000원
  • 등록일 2011.12.13
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
로직웍스를 교수님들을 통해 접해본 것처럼 이번에는 조교들을 통해 pspice를 응용함으로서 직접 amp를 설계해보고 시뮬레이션도 할 수 있었다, mic mixer amp를 구현하는데 필요한 지식은 microelectronic circuit을 다시 보며 보충하였고, 이 과정을 통
  • 페이지 9페이지
  • 가격 1,400원
  • 등록일 2017.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 1. 코스웨어 유형 결정 1) 개인 교수 유형 2) 자료 제시 유형 3) 저작 도구 유형 4) 교육용 게임 유형 2. 내용 구조 설계 1) 내용 분석의 종류 2) 내용 구조의 설계 3. 진행 방법 설계 1) 메뉴 구성 2) 진행 방법 3) 피드백, 메시지 설계 4.
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2011.06.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 181건

방법 및 범위 2. 스텔스기술 2.1 스텔스의 원리 2.2 레이더의 원리 2.3 스텔스의 설계 2.3.1 형상설계 2.3.2 레이더흡수물질(RAM) 2.3.3 적외선(Infra-Red) 2.4 스텔스 기술의 적용- 항공기 운용 2.4.1 SR-71 (Black Bird) 2.4.2 F-11
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2009.02.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
연구방법 - 연구대상자(Participants)       - 연구설계(Study design)       - 실험기기(Outcome measure)       - 실험절차(Procedure)       - 분석방법(Statistics analysis) 3. 기대효과(Prognosis effects) 4. 참고문헌(reference)
  • 페이지 18페이지
  • 가격 2,000원
  • 발행일 2015.06.27
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
설계방법도 모래의 경우와 유사하다. 순수한 성분의 실트는 거의 존재하지 않으며, 5%정도의 점토성분만 있어도 실트는 점착력을 가지고, 10~25%의 점토성분이 있는 실트는 점토로 취급한다. 점토나 실트 지반의 지지력과 압밀침하량을 산정하
  • 페이지 64페이지
  • 가격 3,000원
  • 발행일 2008.12.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
사회적 상호작용  3. 상호텍스트성과 사회적 상호작용의 연계  4. 문학교육에 대한 시사점 Ⅲ. 상호텍스트성과 사회적 상호작용을 연계한 문학수업 방법  1. 수업의 설계  2. 수업 모형 Ⅳ. 나가는 글 참고문헌 <초 록> Abstract
  • 페이지 26페이지
  • 가격 3,000원
  • 발행일 2013.02.25
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
설계 조건3에서 대전물체의 가장자리에서 발생하는 전기력선의 휘어짐을 무시하였다. 이와 같은 설계 방법을 통해 정전기의 전압이 얼마나 되는지 예측 할 수 있었고, 이를 이용하여 일상생활에서 간단한 방법으로 정전기의 전압을 측정 할
  • 페이지 29페이지
  • 가격 3,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 504건

일을 주로 맡았습니다. 또, 다른 과목인 설계방법론이란 수업이 있었습니다. 이론과 실습수업을 병행, 이론 시간에는 최적화 설계에 대한 전문 지식을 배우고, 실습 시간엔 GENESIS를 활용하여 최적화 설계를 직접 해본 수업입니다. 없음
  • 가격 10,000원
  • 등록일 2013.04.15
  • 파일종류 압축파일
  • 직종구분 산업, 과학, 기술직
설계방법의 발달과정을 정리해 볼 것입니다. 이는 KCC건설내에서 지속가능한 건축설계분야의 가능성을 제시할 수 있게 할 것으로 자신합니다. 꼭 KCC건설의 전문 건축인으로서 효과적인 계획설계의 역량을 발휘할 수 있기를 희망합니다. 1.
  • 가격 2,000원
  • 등록일 2015.11.19
  • 파일종류 한글(hwp)
  • 직종구분 기타
방법을 생각해 내지 못했을 것입니다. 앞으로도 눈에 보이는 쉬운 방법에 만족하지 않고 끊임없는 생각과 조사를 통해서 제가 지원하는 설계 직무에서 발상의 전환으로 구매 단가를 줄이고 더 나은 제품을 설계 할 수 있는 창의력을 발휘 할
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계 Skill 및 저만의 설계 노하우를 축적해 나갈 것이며, 이후에는 최적의 방법론을 찾아 설계 기준서와 편람을 의미 있게 정리해 나갈 것입니다. 1. 성장과정 및 학창시절 [600자] - 미래의 꿈을 스스로 정립하다. 2. 하이닉스 및 해당분야
  • 가격 900원
  • 등록일 2009.01.06
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
웍스에 입사하여 데이터 전송 방법 및 장치에 관한 특허를 추진하고 싶은 목표가 있습니다. 이 기술은 디스플레이 구동칩이 데이터를 수신할 때 실시간으로 에러발생을 감지하는 기술에 관한 것입니다. 저는 이 기술을 디스플레이 장치에 적
  • 가격 1,800원
  • 등록일 2012.10.10
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top