• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,980건

논리 게이트 OR, AND, NOT, NAND, NOR, EX-OR··.) - 디지털 IC의 종류와 특징 (TTL & C-MOS) 3. 사용기기 및 부품 - SMPS, 펑션제너레이터, 74LS90, 74LS47, 7 Segment, 저항(330) 4. 도면 10 5 ▽ 5V 16 8 GND 5. 실험 및 실험결과 가. 회로 제작 과정 모습 나. 회로를 완성한
  • 페이지 18페이지
  • 가격 3,000원
  • 등록일 2011.07.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로및컴퓨터구조실험, 홍진 전희종 외(2007) 디지털시스템, 문운당 진경시 외(2000) 디지털 공학, 기전연구사 e비즈니스시대의 경영정보시스템, 방송통신대학교, 2005 Philip Miller, 마스터링 TCP/IP 응용편, 성인당, 2005 네이버 지식백과, IT용어
  • 페이지 7페이지
  • 가격 3,500원
  • 등록일 2018.09.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로 뒷면 6. 결과 및 고찰 마지막으로 논리 회로 실험에서 하는 프로젝트이다. 주어진 3개의 설계 중 7세그먼트를 기본 소자를 이용하여 디코더를 제작한 뒤 그 출력 값들을 이용해 7-세그먼트를 구현 해보는 설계, 7483 Full Adder를 이용하여 4비
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2011.07.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험 예상 (1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터 D0~D3의 논리식을 AB로 나타내어라. A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 (2) 예비과제 1과 동일한 내용. (3) 다음 회로를 구성하고 실험하라. D0 D1 D2 D3 D4 D5 D C B A 0
  • 페이지 8페이지
  • 가격 6,300원
  • 등록일 2015.11.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로도를 작성한다. 이 논리회도를 통하여 브레드보드판의 회로를 꾸민다. 3)실험결과 <스위치 입력 A = 0 , B = 0 일때 Segment 0> <스위치 입력 A = 0 , B = 1 일때 Segment 1> <스위치 입력 A = 1 , B = 0 일때 Segment 2> <스위치 입력 A = 1 , B
  • 페이지 5페이지
  • 가격 13,860원
  • 등록일 2013.05.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 19건

rding Length : 250K Trigger Position : 40 Cycles : 5 10 5 25 5 2.3.2 실험 방법 [그림 1-3] 3차권선 실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험 5.1 조작부 회로기판 < LCD 부착 전 > < LCD 부착 후 > < 조작부 뒷 판 > [그림 5-1] 조작부 회로기판 5.2 동작부 회로기판 < 동작부 회로기판 앞 > < 동작부 회로기판 뒤 > [그림 5-2] 동작부 회로기판 앞뒤 5.3 동작부 몸통(회로
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로설계 시 필요한 많은 이론과 실험 수업을 통해 실제적인 지식을 적용시켜 작품을 만들어 낸 것은 보람이 있었다. 학부 과정 중 배운 이론을 토대로 시물레이션(P-SPICE)을 이용해 회로를 디자인하고 실제로 제작을 해보는 과정에서 제작 시
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론-----------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 69건

논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
실험 진행 19/07~19/08 교외 포항공과대학교 인턴십 OOO 교수님의 OOO lab에서 인턴십 19/01~19/02 교내 이화여자대학교 인턴십 OOO 교수님의 Ewha Brain Institute에서 인턴십 19/06~19/10 교외 서울대학교 전국 대학생 생물학 심포지엄 노화 뇌에서 발생하는 세
  • 가격 2,300원
  • 등록일 2021.02.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top