• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,007건

filter를 직접 설계해 보았으며, 그것은 다음과 같다. 실험 34장에 대한 복습문제 1. 그림 34-1의 대역통과필터에서 중심주파수는 약 얼마인가? (a) 350㎐(b) 500㎐ (c) 625㎐(d) 740㎐ ⇒ 결국 740Hz이다. 2. 그림 34-1의 회로에 대한 Q값은 대략 얼마인가? (a)
  • 페이지 8페이지
  • 가격 1,300원
  • 등록일 2009.06.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로로 구성된 저역통과필터이므로 20dB/decade의 두배인 40dB/decade(6dB/octave의 두배인 12dB/octave)의 기울기를 가지고 감소하게 된다. 결국 답은 -12dB/octave가 된다. 5. 차단주파수가 500Hz이고 필터의 입력신호가 3000Hz이면 dB 응답은 얼마인가? (a) -3dB(b)
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2009.06.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통과 필터(BPF, Band Pass Filter) - 원하는 특정 주파수 대역 내의 성분만 감쇠 없이 통과시키고, 나머지 주파수 성분은 감쇠 - 주파수를 잘게 나누어 쓰는 현대에서 가장 많이 사용되는 필터 (2) 회로 설명 ① 2th Order Butterworth Low Pass Filter - 2차 능동
  • 페이지 11페이지
  • 가격 2,200원
  • 등록일 2014.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
결과를 단계 4에서의 결과와 비교하라. 앞에서 구한 결과를 식(30.1)로 계산한 저역차단 주파수와 비교하라. PSPICE 모의실험 30-2 아래 회로는 그림 30-3의 대역통과 필터회로이다. 1. 전압 V(VOUT)의 Prove 그림을 구하라. 이론 2. 커서를 이용하여 이
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2021.05.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험의 회로는 “연산교류적분기”의 회로로써, 입력오프셋 전압과 입력오프셋 전류의 영향으로 인해 출력전압이 포화될수 있으므로 이러한 직류 성분에 대해 이득을 제한한다. 미분기 -연산증폭기를 사용한 미분기의 간단한 회로-이상
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2006.06.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험 조건 ① 입력 전압은 +-10V로 한다. ② 펑션 제너레이터로 10K Hz의 신호를 입력한다. ③ 반전 증폭기로 -1, -2 배의 출력값을 나타낸다. ④ 비반전 증폭기로 2, 3 배의 출력값을 나타낸다. <파워 서플라이> <OP AMP 회로> 3. 실험 결과
  • 페이지 5페이지
  • 가격 1,000원
  • 등록일 2008.11.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험결과 모두 필터되는 주파수는 비슷하게 구해졌다. 시뮬레이션의 노치대역폭은 57.280Hz ~ 62.951kHz 이기 때문에 중간값을 구하여 시뮬레이션의 필터주파수로 하였고 오차율은 이론값 59.99Hz를 이용하여 구하였다. 실험결과도 위와 비슷한 그
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2009.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 시뮬레이션 : OrCAD PSpice 사용 조준익 | 기한재 | 2006 4. MATLAB 기반) 디지털 신호와 시스템 실험 나상신 | 브레인코리아 | 2006 5. (OP-AMP 실험) 디지털공학실험 이정일 | 동일 | 2009 1. 과 제 2. 이 론 3. 회로도 및 분석 4. 실험 결과 및 분
  • 페이지 12페이지
  • 가격 8,400원
  • 등록일 2012.12.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
대역을 고려하고 설계를 했었어야 했던 것이다. 그리하여 OPAMP를 가지고한 회로에서는 우리가 원하던 값을 얻지 못했던 것이다. 이번에 이 프로젝트를 하게 되면서 우리가 알게 된 사실은 신호의 복원이다. 샘플링을 통해 디지털 신호든지 아
  • 페이지 18페이지
  • 가격 3,300원
  • 등록일 2012.05.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
대역을 고려하고 설계를 했었어야 했던 것이다. 그리하여 OPAMP를 가지고한 회로에서는 우리가 원하던 값을 얻지 못했던 것이다. 이번에 이 프로젝트를 하게 되면서 우리가 알게 된 사실은 신호의 복원이다. 샘플링을 통해 디지털 신호든지 아
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2011.07.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top