• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 41건

2.개요 2.1 작품 개요 한 학기 동안 HDL을 실습하면서 여러 가지 사용법 및 제어 기술들을 배우게 되었습니다. 이번 학기 프로젝트로는 자판기를 선택하였습니다. 제작된 자판기는 커피, 코카콜라, 오렌지 주스 그리고 녹차를 판매하게 됩니
  • 페이지 20페이지
  • 가격 8,000원
  • 등록일 2012.02.27
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
자판기 회로 테스트벤치(simvision) module TestBanch_Vending_Machine(); reg t_Clock, t_Cancel,t_Selectbit; reg [1:0]t_In; wire t_Change, t_Control; wire [1:0]t_Out; Vending_Machine M0 (t_Clock, t_Cancel,t_Selectbit,t_In, t_Change, t_Control, t_Out); initial begin $shm_open("TestBanch_Vending_Machine.
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
처음에 자판기 메뉴얼이 나오고 돈을 넣으면 잔돈 반환이랑 남은수량도 체크하게끔 프로그램을 구현 하였습니다. 또 주문이끝나면 반복문을 이용하여 다시 메뉴얼 나오도록 구현 하였습니다. 1.소스코드 2.출력결과 3.소스코드 캡
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2017.11.25
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
프로젝트 개발기간동안 모든 팀원들의 능력을 최대한 성장시키고, 각자 조사한 연구 자료를 토대로 일정에 맞추어 계획을 진행시켜 나갈 것입니다. ▷ 개발 목적  ✔ 수업시간에 배운 내용을 완벽하게 학습하고자 자판기프로그램을
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2013.01.02
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 2건

프로젝트, 동아리, 봉사/선교활동 등) 교내에서 게시판을 관리하고 불평 및 불만사항을 접수하여 처리하는 역할을 맡았습니다. 이후 교내의 자판기를 조사하여 불량 자판기를 발견하고...(이하생략) 1. 당사와 해당 직무를 지원하게 된 동
  • 가격 3,000원
  • 등록일 2020.12.31
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
자판기 등) 매장에 대해서 본인의 방문 소감이나 느낀점 등을 작성하여 주십시오. 5. 코레일유통에 지원한 동기와 본인이 합격해야 하는 이유에 대해 설명해 주십시오. [ 코레일유통 비전 ] [ 코레일유통 인재상 ] [ 지원분야 예
  • 가격 2,300원
  • 등록일 2013.01.11
  • 파일종류 한글(hwp)
  • 직종구분 무역, 영업, 마케팅
top