• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,430건

시스템의 하드웨어를 분류하는 방식과 기준은 다양하다. 본 보고서는 일반적인 컴퓨터의 처리 방식인 직렬처리 방식에 비해 병렬처리 방식의 특징들에 대해서 설명하고, 컴퓨터 소프트웨어를 종류별로 분류해 보고자 한다. - 중략 - Ⅰ.
  • 페이지 12페이지
  • 가격 6,000원
  • 등록일 2009.09.19
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시스템의 하드웨어를 분류하는 방식과 기준은 다양하다. 본 보고서는 일반적인 컴퓨터의 처리 방식인 직렬처리 방식에 비해 병렬처리 방식의 특징들에 대해서 설명하고, 컴퓨터 소프트웨어를 종류별로 분류해 보고자 한다. - 중략 - Ⅰ.
  • 페이지 12페이지
  • 가격 6,000원
  • 등록일 2008.10.06
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시스템(하드웨어 및 소프트웨어), 영한출판사, 2001 Ⅰ. 서 론 Ⅱ. 컴퓨터 시스템의 하드웨어 1. 컴퓨터 시스템의 개요 2. 정보처리 시스템 3. 하드웨어 Ⅲ. 직렬처리 및 병렬처리 방식 1. 직렬처리방식(Serial Processing) 2. 병렬처리 방식(P
  • 페이지 26페이지
  • 가격 3,500원
  • 등록일 2009.09.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
과제물 작성시 그냥 배끼시면 시스템에 걸려서 점수를 못 따실겁니다. 이 자료는 여러분이 조금 쉽게 과제물에 접근하실 수 있도록 만든 매뉴얼이고 자료에 쉽게 접근 하실 수 있도록 다양한 링크를 걸어 두었습니다. 링크의 정보를 첨부하고
  • 페이지 7페이지
  • 가격 2,800원
  • 등록일 2009.10.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
처리 방식 1. 직렬처리 방식 2. 병렬처리 방식 Ⅳ. 직렬처리 방식에 대한 병렬처리 방식의 특징 1. 병렬처리 시스템의 발전단계 2. 병렬처리 시스템의 분류 3. 직렬처리방식과 병렬처리방식의 비교 분석 Ⅴ. 컴퓨터 소프트웨어의 종류
  • 페이지 20페이지
  • 가격 3,800원
  • 등록일 2008.09.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 70건

경영관리의 적용 4-1. 활동기준 원가절감 4-2. ABC와 예산편성 4-3. ABC와 책임회계 4-4. 표준원가계산에서의 ABC의 활동 4-5. ABC와 전략적 성과평가 4-6. ABC 정보를 이용한고객대응시간의 관리 5. ABM 도입 시 체크 사항 Ⅲ. 結
  • 페이지 15페이지
  • 가격 3,000원
  • 발행일 2009.06.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
경영화 전략 1. 지방정부 개혁을 위한 비전과 전략 설계 2. 서비스 공급방식의 다원화와 민간활력을 활용 3. 행정의 성과 향상을 위한 제도개혁 4. 행정서비스의 품질 경영 도모 5. 성과향상과 품질경영을 위한 공통기반 구축 <
  • 페이지 56페이지
  • 가격 5,000원
  • 발행일 2005.05.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템 구성 3.2 Master Server 설정 3.2.1 Kernel Patch 및 환경설정 3.2.2 Master Server IP 설정 3.3 Real Server 설정 37 3.4 LVS 클러스터의 기동 및 동작 확인 3.5 NFS 설정(File server 설정) 4. 홈페이지 구축 4.1 선행연구(기존 학급 웹서버 검토) 4
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
처리속도에서 만족할 수 있는 데이터를 갖도록 하였다. 향후과제는 이 결과값을 기본으로 하여 25000컬러 구현에 필요한 시스템 구현 및 Panel 구동에 필요한 Analog Circuit를 목표로 한다. References [1] KETI 주간전자정보 “ 유기EL개발 동향과 향후전
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템 개략도 4 2.1.4 Flow Chart 5 2.2 활용 기자재 및 부품 소요 6 제 3 장 부품 및 설명 7 3.1 주요부품 목록 7 3.1.1 소형집게 7 3.1.2 Geard Motor 8 3.1.3 Servo Motor 8 3.1.4 거리측정 센서 8 3.1.5 광 센서 9 3.1
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 151건

공통적으로 많은 대학원 논술 문항 키워드 174 ? 오버스펙 174 ? 보안관련 공학의 기본정보 175 가. 학과에 대한 기본적인 지식 176 나. 컴퓨터과학과 컴퓨터 공학 179 다. 컴퓨터공학자, 컴퓨터과학자의 차이 179 라. 소프트웨어 산업동향 181
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
공통적으로 많은 대학원 논술 문항 키워드 184 ? 오버스펙 184 ? 보안관련 공학의 기본정보 185 가. 학과에 대한 기본적인 지식 186 나. 컴퓨터과학과 컴퓨터 공학 189 다. 컴퓨터공학자, 컴퓨터과학자의 차이 189 라. 소프트웨어 산업동향 191
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
신체에 대한 유형적 서비스 2) 유형물에 대한 유형적 서비스 3) 사람의 정신에 대한 무형적 서비스 4) 무형자산에 대한 무형적 서비스 2. 고객접촉도에 따른 구분 1) 접촉도 높은 서비스 2) 접촉도 중간의 서비스 3) 접촉도 낮은 서비스
  • 가격 2,800원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
처리할 때, setAutoCommit란? 37. setAutoCommit(true);인 경우와 setAutoCommit(false);인 경우의 차이점은? 38. statement와 preparestatement의 차이점은? 39. Servlet과 JSP의 차이점에 답변해 보세요. 40. 엔터프라이즈 자바빈즈란? 41. JDBC란? 42. Get과 Post의 차이점은
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
공통적으로 많은 대학원 논술 문항 키워드 187 ? 오버스펙 187 ? 보안관련 공학의 기본정보 188 가. 학과에 대한 기본적인 지식 189 나. 컴퓨터과학과 컴퓨터 공학 192 다. 컴퓨터공학자, 컴퓨터과학자의 차이 192 라. 소프트웨어 산업동향 194
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
top