• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 8건

Logic of architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design, 태림문화사. Hiller, B., 1996, Space is The Machine, Cambridge University Press. Hiller, B., and Hanson, J., 1984, The Social logic of Space, Cambridge University Press. Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB. Jonathan,
  • 페이지 66페이지
  • 가격 5,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Digital Economy I. 서 론 제 1 절 문제의 제기 제 2 절 연구의 목적 및 구성 II. 전통산업의 IT화와 e-Transformation 제 1 절 새로운 경영 패러다임 제 2 절 전통산업의 IT화 제 3 절 전통기업의 e-Transformation 제 4 절 디지털 비즈니
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디자인(web page design) 라. 기술수준(technical quality) 2. 인터넷 미디어 마케팅 전략 가. 바이럴(viral) 마케팅 나. 웨캐스팅(Webcasting) 활용 다. 디지털스토리텔링 라. 협업 블로거 마케팅 전개 마. 정책고객관리 기법(PCRM : Policy Customer Rela
  • 페이지 17페이지
  • 가격 2,500원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 블록을 SYNOPSYS TM 의 VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design Concept 연구”, 1st, , 2004 (2) 한경호, “ Implementation of two wire RS232C Serial Communication Interface using CSMA protocol”, 한국조명설비학회논문지, 2003 (3) http://blog.naver.com/hybusnet, 2008, 11. 01 (4) http://blog.naver.com/ysm420/, 2008, 10. 28 별첨 - LCD Control Module <그
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design of Control System to Drive EMDP(Electric Motor Driven Pump) using Brushless DC Motor’, 항공우주기술 제4권 제1호 [5] 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)’, 한국특허정보원 1. 서론 2. DC 모터 2.1 DC 모터의 개요 2.1.1 DC 모터
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디자인 (Color & Design) 2. 적합성 (Appropriateness) 3. 선명도 (Visibility) 4. 간결성 (Simplicity) 언어외적인 요소 (Nonverbal Language) 자신이 가장 중요하다고 생각하는 항목 자신이 가장 취약하다고 생각되는 항목 1. 표정관리 (Facial Expressions) 2. 발표자세 (Postu
  • 페이지 35페이지
  • 가격 3,300원
  • 발행일 2013.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 다음
top